Electronics trends for 2017

 

Chip Market Brightens in 2017. The semiconductor industry may yet have been flat in 2016, but expects it is expected that the electronics industry rebounds in 2017, probably in the first half. Wall Streeter predicts return to 5% growth. Total IC business growth is expected to be around five percents for few years to come.There seems to several promises to this direction, especially in memory business. Chips Execs See Maturing Industry article says that pessimism about immediate revenue and R&D growth is a sign of a maturing industry.

Thanks to both rising prices and volume sales, the memory sector is expected to lead overall semiconductor sales growth. Sales of memory chips will increase 10% next year to a new record high of $85.3 billion, according to the latest report from IC Insights. NAND flash will grow almost as fast at 10% next year. The average annual growth rate for the memory market is forecast to be 7.3% from 2016-2021. Every year we need 5.6% more bits than previous year, and the unit prices are increasing on both DRAM and Flash.

There will be also other growth sectors. The data center will be the fastest growth segment next year, rising 10%, followed by automotive at 9% and communications at 7%Consumer and industrial markets growing at about 4% in line with the overall industry. PCs will be the big drag on 2017, declining 2%.

China Dominates Planned Chip Fabs as more than 40% of front end semiconductor fabs scheduled to begin operation between 2017 and 2020 are in China, a clear indication that China’s long-stated ambition to build a significant domestic semiconductor industry is taking shape.

Trump Win Could Mean Big Questions for Manufacturing as while Trump vowed to keep American manufacturing jobs, he offered little in the way of stated policy other than the promise to punish companies that sent manufacturing job outside the US. Questions about trade also could directly affect US manufacturing. How that plays out is a big unknown.

Europe will try to advance chip manufacturing, but not much results in 2017 as currently  there is almost no leading-edge digital chip manufacturing left in Europe as the local companies have embraced outsourcing of digital semiconductor manufacturing to foundries. The European Commission intends to reconvene a high-level group of European CEOs and executives to exchange views on Europe’s 10/100/20 nanoelectronics and chip manufacturing project and make adjustments as necessary for a wave of European Union investment supposedly starting in 2020. The two most advanced wafer fab locations left in Europe in terms of deep sub-micron miniaturization belong to Intel in Leixlip, Ireland and Globalfoundries in Dresden, Germany.

Smaller geometries are to be taken into use and researched in 2017. Several chipmakers ramp up their 10nm finFET processes, with 7nm just around the corner. As TSMC, GF/Samsung Battle at 7nm the net result is in the course of 18 months chip designers will see at least three variants of 7nm — separate immersion variants from TSMC and Globalfoundries and the EUV version from GF/Samsung. Intel has yet to detail its 7nm node.

At the same time R&D has begun for 5nm and beyond, but Uncertainty Grows For 5nm, 3nm as costs are skyrocketing. Both 5nm and 3nm present a multitude of unknowns and challenges. To put this in perspective, there are roughly two silicon atoms in 1nm of line width in a chip. Etching Technology Advances as atomic layer etch (ALE) moves to the forefront of chip-making technology—finally. TSMC recently announced plans to build a new fab in Taiwan at a cost of $15.7 billion targeted for TSMC’s 5nm and 3nm processes, which are due out in 2020 and 2022.

Moore’s Law continues to slow as process complexities and costs escalate at each node. Moore’s Law is dead, just not in the way everyone thinks. SiFive believes open source hardware is the way forward for the semiconductor industry.  Technological advances keep allowing chips to scale, but the economics are another story – particularly for smaller companies that can’t afford chips in the volumes. The solution, according to San Francisco-based startup, SiFive, is open-source hardware, specifically an architecture developed by the company’s founders called RISC-V (pronounced “risk-five”). Done right SiFive, which was awarded Startup of the Year at the 2016 Creativity in Electronics (ACE) Awards, believes that RISC-V will do for the hardware industry what Linux has done for software. For example 5th RISC-V Workshop Points to Growing Interest in the RISC-V Platform.

Sensors are hot in 2017. These tiny, powerful solutions are creating the interface between the analog and the digital world. Data is everywhere, and sensors are at the very heart of that. While no one really knows what technology’s next “killer application” will be, we are confident that any killer app will rely on sensors.Appliance autonomy promises to make life simpler, but this field has still lots of to improve even after year 2017.

Interface ICs will continue to help simplify high-bandwidth designs while making them more robust and reliable. Application areas that will benefit include automotive, communications, and industrial. Both wired and wireless interface solutions have plenty of applications.

Analog’s status is rising as more sensors and actuators are added into electronic devices, pressure is growing to more seamlessly move data seamlessly back and forth between analog and digital circuitry. IoT pushes up demand for analog content and need for communication between these two worlds will continue to grow. Analog and digital always have fit rather uncomfortably together, and that discomfort has grown as SoCs are built using smaller feature sizes.  The demand for analog silicon has always existed in the embedded space, but the advent of the Internet of Things (IoT) is increasing the demand for connected mixed-signal contentAt 28nm and 16/14nm, standard “analog” IP includes a fair amount of digital content.

It seems that hardware designer is a disappearing resource and software is the king in 2017. It is becoming less and less relevant in what format the device is used in many applications. Card computers are standard products and are found in many different card formats that can be used in very many applications. Embedded development is changing to more and more coding. More software designers that understand some hardware are needed, but it is not easy to leap to move to the hardware to software.

The power electronics market is moving at very fast pace. Besides traditional industrial, renewable, and traction sectors, new applications such as energy-storage systems, micro-grids, and dc chargers are emerging. As the automotive world moves to electric vehicles, this creates challenges for IGBT and SiC-MOSFET ICs, and their associated gate drivers. New packages for high-voltage IGBTs and high-voltage SiC-MOSFETs are introduced.

More custom power distribution  and higher voltages on data center computer systems in 2017. OpenRack and OpenCompute projects are increasing the distribution voltage inside the server itself.  This approach, plus transitioning to new materials such as gallium nitride in the power-conversion systems, can reduce overall power consumption by 20% and increase server densities by 30-40%.”

Power Modules and Reference Designs will be looked at in 2017 even more than earlier in power electronics. The semiconductor and packaging technologies used in power modules have advanced considerably, and the industry is developing modules today that are denser, less expensive, and easier to use. Designers want to rely on power modules to speed up designs and optimize space using smaller, easy-to-use power modules. Module manufacturers hope that  engineers will increasingly choose a module over a discrete design in many applications.

The bi-directional DC/DC converter has been around for a while, but new applications are quickly emerging which necessitate the use of this architecture in so many more systems. Battery back-up systems need bi-directional DC/DC converters. Applications today require better energy efficiency and such systems as green power with solar or wind generation, need storage so that when there is no wind or sun available the electricity flow is not interrupted.

Power supplies need to become more efficient. Both European Union’s (EU) Code of Conduct (CoC) Tier 1 and CoC Tier 2 efficiency standards are to be taken into use. The European Union’s CoC Tier 1 effectively harmonizes the EU with US DoE Level VI and became effective as a voluntary requirement from January 2014, two years ahead of Level VI. Its adoption as an EU Ecodesign rule is currently under review to become law with an implementation date of January 2017. The key difference between the CoC requirements and Level VI is the new 10% load measure, which imposes efficiency requirements under a low-load condition where historically most types of power supplies have been notoriously inefficient. CoC Tier 2 further tightens the no-load and active mode power consumption limits.

During 2016, wireless-power applications started to pick up across many fields in the semiconductor industry, and it will continue to do so. Wireless power will continue to gain traction with increased consumer demand.  Hewlett Packard, Dell, jjPlus, and Witricity have already announced products based on Airfuel standards. And, products based upon the Qi standard will continue to grow at a rapid pace.

 

Other prediction articles:

In Power & Analog 2017 Forecast: What Experts Are Saying article representatives from major players in the semiconductor industry share their predictions for 2017 regarding power modules, wireless power, data converters, wireless sensing, and more.

Looking Ahead to 2017 article tells on to what SIA is focused on working with. “U.S. semiconductor technology should be viewed as a strategic national asset, and the Administration should take a holistic approach in adopting policies to strengthen this vital sector,” the letter says

Hot technologies: Looking ahead to 2017 article collection has EDN and EE Times editors explore some of the hot technologies in 2017 that will shape next year’s technology trends and beyond.

 

1,115 Comments

  1. Tomi Engdahl says:

    Infineon Leads Pick-and-Shovel Market
    http://www.eetimes.com/author.asp?section_id=36&doc_id=1331718&

    In an analysis produced for EE Times, Semicast Research has identified Infineon as the leading vendor overall for the combined automotive and industrial semiconductor market.

    Semicast’s combined automotive and industrial semiconductor vendor share analysis ranks Infineon as the largest supplier in 2016, with an estimated market share of 8.3 percent, ahead of NXP with 7.9 percent. Completing the top five, Texas Instruments had 7.5 percent; STMicroelectronics was at 6.1 percent; and Renesas had 5.0 percent.

    Reply
  2. Tomi Engdahl says:

    They Have Electronics in Junk Mail Now
    http://hackaday.com/2017/05/11/they-have-electronics-in-junk-mail-now/

    On the way to the mailbox, you might be expecting bills, birthday cards, perhaps a grocery store catalogue or two. [Steve] was like you, once – until an embedded computer showed up in the junk mail.

    The mailer turned out to be from the Arconic corporation

    It was a simple job to identify the chips inside which still had their factory markings, and [Steve] found that it appeared to share its design with an Audi marketing material from 2014. It’s rather amazing that such technology is cheap enough for this sort of mass mailout, though [Steve] notes that it’s rather an imprudent move to post out a “fire hazard that needs to be specially recycled”.

    Electronic Junk Mail Teardown
    https://www.bigmessowires.com/2017/05/08/electronic-junk-mail-teardown/

    Reply
  3. Tomi Engdahl says:

    Handle GaAs and GaN MMICs with Care
    http://www.mwrf.com/semiconductors/handle-gaas-and-gan-mmics-care?NL=MWRF-001&Issue=MWRF-001_20170511_MWRF-001_580&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=11022&utm_medium=email&elq2=4e215fd7a86a4f56aa6f1dc1c44ae143

    This white paper explains the various factors associated with successfully building microwave assemblies that contain gallium-arsenide (GaAs) or gallium-nitride (GaN) devices.

    Proper procedures must be used when building integrated assemblies that incorporate gallium-arsenide (GaAs) or gallium-nitride (GaN) monolithic microwave integrated circuits (MMICs).

    It explains that both GaAs and GaN MMICs should be picked up by using either an automatic or semi-automated pick system along with an appropriate pick tool (e.g, a vacuum pencil or collet).

    The white paper recommends that solvent cleaning of solder preforms and substrates/packages be performed to remove any existing surface contaminants. Moreover, gold-tin (AuSn 80/20) is the alloy that is most commonly used when soldering GaAs and GaN MMICs. AuSn solder is usually available as a preform, with common thickness values ranging from 0.5 to 2 mils. One additional point of emphasis involves choosing a substrate or base-plate material with a suitable coefficient of thermal expansion (CTE).

    Reply
  4. Tomi Engdahl says:

    Nvidia Tesla V100: First Volta GPU is one of the largest silicon chips ever
    21-billion-transistor Volta GPU has new architecture, 12nm process, crazy performance.
    https://arstechnica.com/gadgets/2017/05/nvidia-tesla-v100-gpu-details/

    Nvidia has unveiled the Tesla V100, its first GPU based on the new Volta architecture. Like the Pascal-based P100 before it, the V100 is designed for high-performance computing rather than consumer use, but it still provides a tantalising glimpse at what the future might hold for Nvidia’s consumer graphics cards.

    The V100 chip is made on TSMC’s 12nm Fin-FET manufacturing process and packs a whopping 21.1 billion transistors on a 815mm² die. By contrast, the P100 manages just 15.3 billion transistors on a 610mm² die, and the latest Titan Xp sports a mere 12 billion transistors on 471 mm².

    Suffice it to say, V100 is a giant GPU and one of the largest silicon chips ever produced, period.

    The combination of die size and process shrink has enabled Nvidia to push the number of streaming multiprocessors (SMs) to 84. Each SM features 64 CUDA cores for a total of 5,376—much more than any of its predecessors. That said, V100 isn’t a fully enabled part, with only 80 SMs enabled (most likely for yield reasons) resulting in 5,120 CUDA cores.

    In addition, V100 also features 672 tensor cores (TCs), a new type of core explicitly designed for machine learning operations.

    NVIDIA Tesla V100
    The Most Advanced Data Center GPU Ever Built.
    https://www.nvidia.com/en-us/data-center/tesla-v100/

    NVIDIA® Tesla® V100 is the world’s most advanced data center GPU ever built to accelerate AI, HPC, and graphics. Powered by NVIDIA Volta™, the latest GPU architecture, Tesla V100 offers the performance of 100 CPUs in a single GPU—enabling data scientists, researchers, and engineers to tackle challenges that were once impossible.

    Reply
  5. Tomi Engdahl says:

    Capacitors that can take the heat
    http://www.electronicproducts.com/Passive_Components/Capacitors/Capacitors_that_can_take_the_heat.aspx

    New fabrication methods make it possible for capacitors to function when the temperature reaches 300°C

    Electronic components that can operate at ambient temperatures of 125°C or above can readily be obtained, which is adequate even for harsh environments, including factory floors or inside motor vehicles. However, that won’t cut it if an application is being built that needs to operate in a truly harsh arena where far higher temperatures are present, such as deep in a mineshaft, in a welding application, or even on-board a satellite in orbit.

    Recent work at the Fraunhofer Institute for Microelectronic Circuits and Systems IMS, spearheaded by scientist Dorothee Dietz, has unveiled capacitors that can tolerate temperatures of up to 300°C. A fortuitous side-benefit of the research is that the capacitors produced exhibit lowered leakage current.

    Reply
  6. Tomi Engdahl says:

    Building One Interface Subsystem For Multiple IoT SoCs
    http://semiengineering.com/building-one-interface-subsystem-for-multiple-iot-socs/

    Adding flexibility to a design by supporting multiple protocols in an interface subsystem.

    When designing SoCs for Internet of Things (IoT) applications, designers quickly realize that their most efficient use of resources will result in chips that can address multiple end applications. Consumer products require connectivity or edge devices, and networking or enterprise companies are broadening their reach to home networking and cloud services, like remote processing, that complement the other layer devices. Designers often need to address several of these applications at once, with a single ASIC. With the cost of ASIC tape-outs increasing, and designs being constrained by pin counts, designers are looking for ways to support more of the solution space with a single chip.

    To address this need, designers are adding flexibility to their SoCs by integrating interface IP subsystems.

    Is your SoC for a home router, a wireless hub or a car?
    A single SoC may support different wireless or wired solutions based on the configurations or price range. One of the best ways to build-in SoC flexibility is to add a PCI Express (PCIe) interface. PCIe 3.0 can support 8 Gbps of data throughput and connect to many modular wireless systems. A PCIe interface not only supports different storage devices but also can connect to many wireless interfaces as well. Since 10G Ethernet support requires similar performance, and is also useful for IoT devices, designers often choose to offer it within the same PHY as the PCIe interface.

    Reply
  7. Tomi Engdahl says:

    The Efficiency Problem
    Limitations for convolutional neural nets on DSP processors.
    http://semiengineering.com/the-efficiency-problem/
    Part 2: Solving Power Limitations for CNNs on DSP Processors
    http://semiengineering.com/the-efficiency-problem-2/

    Reply
  8. Tomi Engdahl says:

    Power Just One Piece Of The Puzzle At 10nm And Below
    http://semiengineering.com/power-just-one-piece-of-the-puzzle-at-10nm-and-below/

    Dynamic power density and rising leakage power make it more important than ever to not only understand power, but their place in the entire design flow.

    Reply
  9. Tomi Engdahl says:

    Analog’s Unfair Disadvantage
    http://semiengineering.com/analogs-unfair-disadvantage/

    In a world that favors digital circuitry, analog has increasingly had to cope with processes that have become less favorable to them. But that may be changing

    We live in an analog world, and yet digital has become the technology of choice. Mixed-signal solutions that used to contain significant amounts of analog, with just a small amount of digital signal processing, have migrated into systems where the analog to digital conversion happens at the very first opportunity.

    There are several reasons for this, and some of them build upon themselves. Moore’s Law applies to digital circuitry and not analog. Transistors can be made smaller, and this benefits digital circuitry. But it does not have the same impact for analog transistors. For analog, the characteristics often get worse as the device is made smaller. In a world where miniaturization has been the key to technological advancement, the analog portion cannot keep up and gets left behind.

    It should come as no surprise that process technology has been optimized for digital, and this puts increasing pressure on the analog components that are left. Manufacturing process variation and parameter degradation over the product’s lifetime are a lot more challenging in the analog world. This means that a lot more analysis and skillful design is required than for the digital parts.

    Reply
  10. Tomi Engdahl says:

    Closing The Loop On Power Optimization
    http://semiengineering.com/closing-the-loop-on-power-optimization/

    Minimizing power consumption for a given amount of work is a complex problem that spans many aspects of the design flow. How close can we get to achieving the optimum?

    Reply
  11. Tomi Engdahl says:

    Power Challenges At 10nm And Below
    http://semiengineering.com/power-challenges-at-10nm-and-below/

    Dynamic power density and rising leakage power becoming more problematic at each new node.

    Current density is becoming much more problematic at 10nm and beyond, increasing the amount of power management that needs to be incorporated into each chip and boosting both design costs and time to market.

    Current per unit of area has been rising since 90nm, forcing design teams to leverage a number of power-related strategies such as dynamic voltage and frequency scaling, body biasing, multiple power domains and dark silicon. Without these tricks, a chip would never be able to operate at 3 watts or less. However, keeping all of this under control is becoming more difficult with each new generation of finFETs.

    “Dark silicon essentially refers to the fact that we have too many functions crammed in a very small area,” said Jamil Kawa, a fellow in Synopsys’ Solutions Group. “Once you get to 16nm and below, you could literally put billions of devices on a chip, and therefore the amount of functions you could cram on a chip are tremendous.”

    Reply
  12. Tomi Engdahl says:

    iNAND Drives Toward Automotive Market
    http://www.eetimes.com/document.asp?doc_id=1331730&

    Western Digital is directing its iNAND embedded flash to the automotive market.

    The company’s iNAND storage portfolio came with the SanDisk acquisition; the latter company has previously positioned it for the increasingly high demands of smartphones, such as professional-grade digital photography and 4K Ultra-HD video playback.

    Under the Western Digital umbrella, the technology is seeing opportunities for it to meet the evolving demands of advanced driver-assistance systems (ADAS), infotainment and safety systems, and other data storage requirements of connected vehicles. Gartner is forecasting that by 2020 connected and autonomous car data traffic per vehicle may reach more than 280 petabytes per year, essentially making the connected car a data center on wheels.

    Reply
  13. Tomi Engdahl says:

    Light transistor to accelerate computers

    The US engineers at the University of Illinois have presented an upgrade to their transistor laser, which could be used to speed up computer processor speed.

    “An electrically and optically bistable actuated transistor significantly increases data processing speeds because devices can communicate without interference that occurs when limited to electron-only transistors,” notes Feng.

    “Electronics can not be removed completely, because the power connection is required to convert electricity into light,” Feng said. “It’s a problem with fully optical computers, a concept that some people talk about. It just is not possible because there is no such thing as a fully optical system. ”

    Source: http://www.uusiteknologia.fi/2017/05/12/valotransistori-vauhdittamaan-tietokoneita/

    Reply
  14. Tomi Engdahl says:

    Researchers develop transistors that can switch between two stable energy states
    https://news.illinois.edu/blog/view/6367/498047

    University of Illinois engineer Milton Feng and his team have introduced an upgrade to transistor lasers that could boost computer processor speeds.

    Electro-optical hysteresis and bistability in the ring-cavity tunneling-collector transistor laser
    http://aip.scitation.org/doi/10.1063/1.4981881

    Bistable devices are fundamental to digital electronics as building blocks of switches, logic gates, and memory in computer systems. We demonstrate here transistor lasers exhibiting both electrical and optical hystereses with sharp square-corner current switching and different voltage thresholds in the collector IC–VCE and optical L–VCE family of characteristics.

    Reply
  15. Tomi Engdahl says:

    U.S. Fab Investments Rise
    Thinfilm builds new fab; Samsung and GlobalFoundries expand sites.
    http://semiengineering.com/thinfilm-others-invest-in-new-u-s-fabs/

    Thin Film Electronics is opening a chip fabrication facility in San Jose, Calif., that will produce devices on rolls of flexible materials, using technology similar to inkjet printing, rather than the standard semiconductor production equipment geared toward silicon wafers.

    At the same time, Samsung Electronics and GlobalFoundries are expanding their wafer fabrication plants in Austin, Texas, and Malta, N.Y., respectively.

    Samsung has committed more than $1 billion during the first six months of this year to increasing production at Samsung Austin Semiconductor in the capital of Texas. The commitment was announced shortly before the presidential election in November. The Samsung unit makes LSI components and memory chips.

    GlobalFoundries similarly trumpeted the news last year that it was making a multibillion-dollar investment in upgrading its Fab 8 facility, with the goal of making chips that have 7nm features.

    Reply
  16. Tomi Engdahl says:

    After Moore’s Law — What?
    http://www.eetimes.com/author.asp?section_id=36&doc_id=1331698

    The semiconductor industry must, at last, outgrow its obsession with pitch shrinkage, and go creative with the “heterogeneous integration of different technologies” to push economic growth.

    The Intel people might as well keep insisting that Moore’s Law isn’t dead, and that their 14-nm chip can pack more transistors than their rivals. That’s Intel being Intel, with a narrative that serves its purpose.

    Reply
  17. Tomi Engdahl says:

    Self-Charging Battery Could Make Chargers Obsolete
    http://www.techbriefs.com/component/content/article/1198-ntb/news/news/26812?eid=376641819&bid=1747281

    New technology developed by Hydro-Québec and McGill University is capable of harvesting and storing energy using light – a self-charging battery. To create the light-charged batteries, a standard cathode from a lithium-ion battery can be “sensitized” to light by incorporating photo-harvesting dye molecules.

    Bright future for self-charging batteries
    http://www.mcgill.ca/newsroom/channels/news/bright-future-self-charging-batteries-267829

    Lithium-ion batteries have allowed the rapid proliferation of all kinds of mobile devices such as phones, tablets and computers. These tools however require frequent re-charging because of the limited energy density of their batteries.

    “With smart phones now, you can basically carry your whole office in that device, they are loaded with all sorts of applications so you need a lot of power to use it everyday and sometimes, you don’t have access to a plug to recharge,” explains Professor George P. Demopoulos, chair of Mining and Materials Engineering at McGill University.

    This has led to the development of portable solar chargers but these hybrid devices are difficult to miniaturize due to their complex circuitry and packaging issues.

    To solve this problem, scientists at McGill University and the Hydro-Québec’s research institute are working on a single device capable of harvesting and storing energy using light. In other words, a self-charging battery.

    A first milestone

    A novel concept presented in a Nature Communications paper by Professor Demopoulos and researchers at Hydro-Québec paves the way to these so-called light-charged batteries.

    The study shows that a standard cathode from a lithium-ion battery can be “sensitized” to light by incorporating photo-harvesting dye molecules. “In other words,” says Dr. Andrea Paolella, the study’s lead author and researcher at Hydro-Québec, “our research team was able to simulate a charging process using light as a source of energy.”

    Reply
  18. Tomi Engdahl says:

    Prices of mobile DRAM are up. “The sharp upswing in prices of mobile DRAM products is expected to hold back the growth of average per device memory content for smartphones this year,” according to TrendForce. “Furthermore, TrendForce has lowered the estimated average memory content of smartphones for 2017, from 3.7GB to 3.2GB. The revised average memory content figure represents an increase of 33.4% compared with the 2016 figure.”

    Source: http://semiengineering.com/the-week-in-review-manufacturing-163/

    More:
    Average Memory Content for Smartphones to Grow 33.4% Annually to Reach 3.2GB in 2017, Says TrendForce
    http://press.trendforce.com/press/20170502-2847.html

    The sharp upswing in prices of mobile DRAM products is expected to hold back the growth of average per device memory content for smartphones this year, says the market intelligence firm TrendForce. Furthermore, TrendForce has lowered the estimated average memory content of smartphones for 2017, from 3.7GB to 3.2GB. The revised average memory content figure represents an increase of 33.4% compared with the 2016 figure.

    TrendForce smartphone analyst Avril Wu stated that smartphones’ average memory content was around 2.4GB in 2016, and its growth for this year was initially expected to be significantly larger due to LPDDR4 becoming the market mainstream.

    Wu noted that smartphone brands, especially vendors of Android phones, have increased the memory content of their products in the recent period because of performance necessity and consumer preference. “Android phones tend to become sluggish after a period of usage because of performance issues associated with the open source nature of the operating system,” said Wu. “The problems are dealt with during the product design as smartphone makers expand the built-in memory to improve computing speed and ensure satisfactory user experience.”

    “Hardware specifications also have a strong influence over purchase decisions, particularly for the Chinese consumers,”

    Memory for next iPhone devices to cap at 3GB; consumers might have to wait until 2018 for a 4GB iPhone

    Reply
  19. Tomi Engdahl says:

    With Ixia Acquisition, Keysight Moves Up the Protocol Stack
    http://www.eetimes.com/document.asp?doc_id=1331712&

    With Keysight Technologies recent acquisition of network testing equipment manufacturer Ixia, the world’s largest test-and-measurement company gets a little larger — by about 1,800 employees.

    Formed in 1997, Ixia has been a leading supplier of hardware and software for network testing, operating at communications protocol layers 2 through 7. Keysight’s expertise has traditionally come from the physical (layer 1), whether that be electrical, optical, or wireless. Keysight, when it was part of Agilent Technologies, had developed some products for wireline protocol testing but exited the business. Indeed, the company sold a line of network testers to Ixia in 2009. Thus, the acquisition brings Keysight back into the network-testing market. To learn more about how Ixia fits in with Keysight, I spoke with Jay Alexander, Keysight’s Senior Vice President and Chief Technology Officer, by phone.

    Reply
  20. Tomi Engdahl says:

    Paralleled GaN Transistors Boost Converter Power Up to 100kW
    http://www.powerelectronics.com/portable-power-management/paralleled-gan-transistors-boost-converter-power-100kw?NL=ED-003&Issue=ED-003_20170515_ED-003_708&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=11074&utm_medium=email&elq2=12f47556f3d142fcb929358d1fdb6fcc

    Paralleling GaN transistors increases the power handling capability of a high-efficiency and high-power density converter. To be successful, parallel operation depends on the designer’s ability to deal with parasitic elements within the GaN devices as well as those associated with interfacing circuits.

    Reply
  21. Tomi Engdahl says:

    Overcome the DC Power Challenge for FPGAs
    http://www.electronicdesign.com/power/overcome-dc-power-challenge-fpgas?NL=ED-003&Issue=ED-003_20170515_ED-003_708&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=11074&utm_medium=email&elq2=12f47556f3d142fcb929358d1fdb6fcc

    Sponsored by: Texas Instruments. Systems based on FPGAs often require multiple supplies with disparate requirements—a tough problem that can be addressed with dc-dc switching regulators.

    Field-programmable gate arrays (FPGAs) have become the go-to component for implementing complex digital designs. Their programmability and flexible features let designers rapidly create functioning prototypes as well as final end products. Some of the latest models include multiple I/O types, NOR flash, analog-to-digital converters (ADCs), digital signal processors (DSPs), and embedded cores that let the designer create sophisticated systems.

    FPGAs present their own design challenges for the engineer, but one unexpected issue often crops up in FPGA-based systems design—dc power. The need for multiple supplies of varying requirements

    Reply
  22. Tomi Engdahl says:

    Congatec, a German embedded solution and card module, has launched an initiative to standardize multiple card format interfaces and firmware. The ComX project is ambitious,

    The company announced the ComX project for Japan’s embedded technology at Embedded Systems Expo. The project relies on existing embedded card standards such as COM Express, Qsevem, and SMARC.

    Congatec is the first card manufacturer to propose a combination of both ARM and x86 cards. According to the company, the initiative is being passed through both the PICMG organization running the COM Express standard and the SGET organization that manages the SMARC and Qseven standards.

    Source: http://etn.fi/index.php/13-news/6318-korteille-puuhataan-yhteista-comx-standardia

    More:
    Press Releases
    9. May 2017
    ESEC 2017: congatec announces ComX™ standardization initiative
    http://www.congatec.com/congatec-ag/press-releases/article/esec-2017-congatec-announces-comxTM-standardization-initiative.html

    announces at the Embedded Systems Expo & Conference (ESEC 2017) in Japan (West Hall 1F , Booth W4-20) the extended standardization initiative ComX™ that goes beyond the current specifications for computer-on-modules. This ComX™ standardization targets two pillars, the API and middleware standardization including APIs for IoT Gateways or embedded features of COM Express Type 7 server-on-modules as well as approved circuit diagrams and logic for demanded carrier board implementations such as FPGA integration, switching logic for USB-C, or for SMART battery logic.

    “Significant improvements for design efficiency are highly demanded by embedded and IoT system engineers, who are faced with the design challenge of having to deliver more new product designs in the same or even shorter time frames. They can meet these challenges by switching from full custom, single PCB designs to more flexible designs based on application ready computer-on-modules. We can boost this efficiency even more, by offering an additional standardization on top of the computer-on-module centric specifications”, explains Christian Eder, director marketing at congatec and draft editor of various PICMG and SGET specifications.

    For custom specific designs, computer-on-modules deliver the vendor independent, standardized and application ready computing core, thereby making the realization of individual board configurations far less time consuming.

    The goal of the ComX™ standard is to establish an additional design-in and API standardization on top of the core standards to further simplify the development of customers’ dedicated applications based on standardized embedded computing building blocks.

    Also brand new and impressive is the new SMARC 2.0 computer-on-module demonstration based on of Intel® Atom™, Celeron® and Pentium® processors (codename Apollo Lake) where congatec presents the implementation of fully featured USB Type C connectivity with USB 3.1 Gen1, power and graphics. By demonstrating this universally applicable form of plug & play functionality, congatec greatly simplifies the use of embedded technology. Fully featured USB-C jacks are still rare and present a real breakthrough for standardizing the fragmented world of cable-based external interconnects. “Such standardization is very beneficial for system engineers as well as system integrators and device users. System engineers don’t need to think about the interface setup anymore”, comments Eder.

    A highlight for the high-end embedded and edge sever sectors are the new COM Express Type 7 based server-on-modules offering server-grade performance and functionality with their Intel® Xeon® D processors, 2x 10 GbE and 32 PCIe lanes. The latter can be used for powerful intra system expansions such as GPGUs and NVMe based ultra-fast storage devices as well as multi-module configurations on one single carrier board for high performance computing (HPC) designs.

    The presentation of the new congatec Cloud API (Application Programming Interface), that is designed for IoT gateways and edge servers and a proposed element of SGETs API standardizations

    congatec’s new Cloud API for IoT Gateways communicates with local smart sensors, processes and converts the acquired data and executes automated actions based on a local rule engine, reducing traffic to the IoT cloud and enabling fast local actions. Secure bidirectional data exchange with any suitable clouds is achieved by using the TLS secured MQTT protocol. Clients can access this cloud via https in client or administrator mode.

    Reply
  23. Tomi Engdahl says:

    Power/Performance Bits: May 16
    Chaos-based IC; electrolytes for sodium, magnesium batteries; graphene speaker.
    https://semiengineering.com/powerperformance-bits-may-16/

    Researchers at North Carolina State University and the College of Wooster developed a three transistor nonlinear, chaos-based integrated circuit combining digital and analog components, which they hope can improve computational power by enabling processing of a larger number of inputs.

    In chaos-based, nonlinear circuits, one circuit can perform multiple computations instead of the current “one circuit, one task” design. However, the number of inputs that can be processed in chaos-based computing is limited by ambient noise, which decreases accuracy.

    “Noise has always been a big problem in almost all engineering applications including computing devices and communications,” says Vivek Kohar, postdoctoral research scholar at NC State. “Our system is nonlinear and so noise can be even more problematic.”

    Hybrid Digital-Analog Circuits Can Increase Computational Power of Chaos-Based Systems
    https://news.ncsu.edu/2017/04/kohar-chaos/

    Reply
  24. Tomi Engdahl says:

    Extending EUV Beyond 3nm
    https://semiengineering.com/extending-euv-to-2nm-and-beyond/

    Now that EUV is finally shipping, companies are working on extending it much further using anamorphic lenses and high numerical aperture technology.

    SE: What groups will utilize 2nm and 1nm process technology?

    van Schoot: The logic guys are most eager to adapt it. We definitely see the DRAM companies being more careful about adopting high NA.

    SE: The price of designing a 2nm chip is going to be so high that the economics may be hard to justify for all but a few companies. But ASML’s planning to be there for whoever makes that leap?

    van Schoot: Yes, that’s correct.

    SE: How much further can you go with NA? What’s the theoretical limit here?

    van Schoot: There is not a theoretical limit. You can get close to 1. The problem is that is not only about angle, it is also about angular spread. If you make a tool that is suitable for two angles, which are extremely high, then you can go to an extremely high resolution. But you can only print one pitch. If you want to print more complex structures, then you need angular spread. That is very critical. At the moment we see ourselves limited, especially in the mask. Within the optics, we’re also pushing limits. But the mask at this moment is the most critical thing to do. On the other hand, if we make another step, it has to be a significant step. We are now at 0.55 NA and the next tool, at 0.6 NA, won’t happen. It is too small a step. Whether we can make a next step is still to be seen.

    SE: What would be the next one after 0.55?

    van Schoot: If it happens, it has to be at least 0.7/0.75.

    Reply
  25. Tomi Engdahl says:

    Materials For Future Electronics
    https://semiengineering.com/materials-for-future-electronics/

    Flexible electronics, new memory types, and neuromorphic computing dominate research.

    Reply
  26. Tomi Engdahl says:

    200mm Crisis?
    Demand is up, capacity is flat. A look at what’s behind this imbalance.
    https://semiengineering.com/200mm-crisis/

    Over the last year or so, the IC industry has experienced an acute shortage of both 200mm fab capacity and 200mm equipment amid a surge of demand for certain chips.

    Right now, though, the 200mm shortfall is much worse than before. But this situation isn’t expected to improve for both elements in the second half of 2017, and perhaps beyond.

    On the capacity front, chipmakers are generally running their 200mm fabs at or near 100% utilization with no slowdown in sight. “200mm capacity worldwide is fully utilized,” said Walter Ng, vice president of business management at UMC. “This situation has been this way since early last year and is expected to remain so into the foreseeable future. The outlook for the second half of this year is for 200mm to be fully utilized.”

    That’s good news in one respect—chipmakers want to keep their fabs full to maximize profits. The downside is they can’t take advantage of soaring demand.

    The shortfall of 200mm equipment began showing up in late 2015. It lingered throughout 2016, amid a sudden and surprising demand for 200mm capacity.

    How did we get here?
    The lack of 200mm capacity and tools are primarily due to several factors:

    • Over the years, several chipmakers closed many of their 200mm fabs for cost reasons. Some decided to focus on the bigger 300mm market.
    • Several equipment companies went out of business or were acquired over the years. Many vendors decided to stop building certain types of legacy tools.
    • Demand for chips made in 200mm fabs exploded, especially in the automotive, communications and mobile markets.

    Amazingly, despite appearing several decades ago, 200mm fabs are hot commodities today. At present, China is building most of the new 200mm fabs in the industry. In addition, several foundries and integrated device manufacturers (IDMs) are adding new 200mm fab capacity.

    Reply
  27. Tomi Engdahl says:

    AWG vs. DDS: Sources of Contention
    http://www.mwrf.com/test-measurement/awg-vs-dds-sources-contention?NL=MWRF-001&Issue=MWRF-001_20170518_MWRF-001_632&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=11146&utm_medium=email&elq2=593b377f4b9243a78a273fb667cc42bd

    These two types of signal sources both rely on digital architectures to generate pulsed output signals and analog sinewaves, often including complex modulation.

    High-frequency signal sources based on digital techniques include arbitrary waveform generators (AWGs) and direct digital synthesizers (DDSs). Both types of signal sources have gained in frequency and bandwidth over the years, thanks to the enhanced performance of digital components such as digital-to-analog converters (DACs).

    AWG- and DDS-based signal sources are both able to create complex output waveforms with high switching speeds, although DDS sources running at high clock frequencies are typically capable of higher-resolution frequency tuning than AWG sources. For that reason, DDS-based sources are often included in AWG designs to provide the benefits of both technologies within a single package.

    AWGs, also known as arbs, produce complex user-defined waveforms by converting waveform samples stored in memory to output waveforms in a continuous, point-by-point fashion, using a variable-frequency reference-clock oscillator. The output frequency of the AWG is determined by the reference clock’s frequency, with a waveform sample converted to an output signal for every clock cycle. Each waveform is represented by a given number of samples, taken at different phase angles of a full 360-deg. cycle of the waveform.

    In contrast, a DDS, also known as an arbitrary function generator (AFG), reads waveform samples stored in memory using a phase accumulator at a fixed clock rate, giving users the ability to choose the samples for creating an output waveform. If a DDS reads every sample for a waveform with a fixed-frequency clock, the frequency of the output waveform would also be fixed. To change frequencies, it reads samples for the phase angles of a waveform, leaving gaps between phase samples. Thus, it uses fewer samples than an AWG to construct an output waveform.

    By changing the phase increment, the frequency of a DDS will change instantly.

    While it lacks the high-frequency limits of a DDS, an AWG provides much greater control and precision for its generated waveforms. The precision is important for some waveforms, but not for others.

    Since AWGs and DDSs are digital sources, the quality of the reference or sample clock has a great deal to do with the quality of the output waveforms. The number of frequency points available from the sample clock determines frequency precision.

    Both types of signal sources are widely used in test and system applications, chosen for their different performance capabilities.

    Reply
  28. Tomi Engdahl says:

    2017 IEEE IMS Exhibitors Prepare for “The Wave”
    http://www.mwrf.com/systems/2017-ieee-ims-exhibitors-prepare-wave?NL=MWRF-001&Issue=MWRF-001_20170518_MWRF-001_632&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=11146&utm_medium=email&elq2=593b377f4b9243a78a273fb667cc42bd

    Visitors to the RF/microwave industry’s largest conference and exhibition can sample some of the hardware, software, and test-equipment products that are turning 5G and IoT into reality.

    Reply
  29. Tomi Engdahl says:

    BBC:
    ARM partners with researchers from University of Washington to develop chips for neural implants to help people with brain and spinal injuries

    ARM to provide chips for brain injury implants
    http://www.bbc.com/news/technology-39934506

    Chip design giant ARM has teamed up with US researchers on a project to develop chips that can be implanted in the human brain.

    The chips are designed to help people with brain and spinal injuries, and will sit inside the skull.

    The aim is to develop a system that not only allows people to carry out tasks, but to receive sensory feedback.

    But it will be some time before we start to see the benefits of the 10-year project.

    “They have some early prototype devices,” ARM’s director of healthcare technologies, Peter Ferguson, told the BBC.

    Reply
  30. Tomi Engdahl says:

    BBC:
    ARM partners with researchers from University of Washington to develop chips for neural implants to help people with brain and spinal injuries

    ARM to provide chips for brain injury implants
    http://www.bbc.com/news/technology-39934506

    Reply
  31. Tomi Engdahl says:

    Market research
    Intel retained its No. 1 position as the largest semiconductor manufacturer and grew its semiconductor revenue 4.6% in 2016, according to Gartner. Samsung Electronics continued to maintain the No. 2 spot with 11.7% market share. The largest mover in the top 25 was Broadcom, which moved up 12 places in the market share ranking, according to the firm.

    Worldwide silicon wafer area shipments increased during the first quarter 2017 when compared to fourth quarter 2016 area shipments

    Source: https://semiengineering.com/the-week-in-review-manufacturing-164/

    Reply
  32. Tomi Engdahl says:

    Battery-free implantable medical device draws energy directly from human body
    UCLA and University of Connecticut scientists design supercapacitor that could make pacemakers and other instruments safer and more durable
    http://newsroom.ucla.edu/releases/battery-free-implantable-medical-device-draws-energy-directly-from-human-body

    Reply
  33. Tomi Engdahl says:

    Making Interconnects Faster
    How cobalt compares to copper, and why it matters.
    https://semiengineering.com/making-interconnects-faster/

    n integrated circuits, interconnect resistance is a combination of wire and via resistance. Wire resistance of a conductor depends on several factors, one of which is the electron scattering at various surfaces and grain boundaries. Via resistance, on the other hand, is a function of the thickness or resistivity of the layers at the bottom of the via through which current must travel.

    This comparative animation of 15nm critical dimension (CD) dual damascene structures illustrates how electrons traveling through copper (Cu) encounter numerous grain boundaries and surfaces that cause scattering and higher resistance. As CDs scale below the mean free path of Cu (39nm), electron scattering increases and this causes Cu wire resistance to rise. In addition, the Cu interconnect requires a liner as well as a barrier layer, reducing the volume of Cu and resulting in higher via resistance.

    By comparison, the lower mean free path of cobalt (Co) causes less electron scattering, leading to better resistance scaling as CDs approach 10nm to 12nm. Further, only a single, 1nm-thick barrier film is required for Co interconnects, which minimizes via resistance.

    Reply
  34. Tomi Engdahl says:

    The Race To 10/7nm
    Next nodes are expected to be long-lasting, because costs of developing chip after that will skyrocket.
    https://semiengineering.com/racing-to-107nm/

    Amid the ongoing ramp of 16/14nm processes in the market, the industry is now gearing up for the next nodes. In fact, GlobalFoundries, Intel, Samsung and TSMC are racing each other to ship 10nm and/or 7nm technologies.

    The current iterations of 10nm and 7nm technologies are scaled versions of today’s 16nm/14nm finFETs with traditional copper interconnects, high-k/metal-gate and low-k dielectrics. In finFETs, the control of the current is accomplished by implementing a gate on each of the three sides of a fin.

    Reply
  35. Tomi Engdahl says:

    Design For Noise (DfN)
    Using direct measurement of noise for better noise-sensitive process control.
    https://semiengineering.com/design-for-noise-dfn/

    Reply
  36. Tomi Engdahl says:

    Reap the Benefits of Economizers for Solenoid/Relay Drivers
    http://www.electronicdesign.com/power/reap-benefits-economizers-solenoidrelay-drivers?NL=ED-003&Issue=ED-003_20170522_ED-003_273&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=11207&utm_medium=email&elq2=5eec02f3ae8d464fa82758af6b33556d

    Designers can have—and eat—their cake with economizer circuits, which embrace the physics of solenoids and relays to bring power savings and improved reliability to solenoid driving.

    Reply
  37. Tomi Engdahl says:

    Low-loss laminates target high-speed designs
    http://www.edn.com/electronics-products/other/4458398/Low-loss-laminates-target-high-speed-designs

    Rogers’ RO1200 circuit materials provide enhanced signal integrity, as well as reduced signal skew and crosstalk for demanding applications, such as IP infrastructure, high-performance computing, and test and measurement. These ceramic-filled laminates are reinforced with woven fiberglass and offer a low dielectric constant of 3.05 and a maximum dissipation factor of 0.0017 at 10 GHz.

    RO1200™ Laminates
    http://www.rogerscorp.com/acs/producttypes/39/RO1200-Laminates.aspx

    With channel speeds increasing beyond 50 Gbps, RO1200 circuit materials are engineered to meet the unique electrical and thermal/mechanical requirements of high speed designs. RO1200 circuit materials enable system designers the flexibility to design leading edge systems that maximize data throughput and minimize latency in performance demanding applications.

    With a low dielectric constant of 3.05, and a max dissipation factor of 0.0017 @10GHz, RO1200 laminates provide outstanding signal integrity, reduced signal skew, and reduced cross-talk. Combined with superior thermal/mechanical performance, low CTE, and a halogen free UL 94 V-0 rating, RO1200 is well suited for the most demanding high layer count applications.

    Reply
  38. Tomi Engdahl says:

    PAM-4 PCB best practices

    http://www.edn.com/design/pc-board/4458413/PAM4-PCB-best-practices

    400 gigabit Ethernet (400GbE) is a new generation wired communication standard supporting the projected explosion in data traffic volume with the booming applications of Internet of Things (IoT) and 5G mobile broadband [1]. In the implementation of 400GbE communication, electrical interface with 4-level pulse amplitude modulation (PAM-4) signaling over 8 lanes is adopted. The combination of 8 lanes at 50Gbps per lane enables the total bandwidth of 400Gbps over the Ethernet [2]. The electrical specifications of 400GbE with 50Gbps (i.e., 25GBaud) PAM-4 signaling are defined by IEEE 802.3bs [2] [3].
    PAM-4 has 4 digital amplitude levels, as shown in Figure 1. Its advantage over NRZ is that each level or symbol in PAM-4 contains two information bits, providing twice as much throughput for the same baud rate [4] [5].

    Reply
  39. Tomi Engdahl says:

    At 94, Lithium-Ion Pioneer Eyes A New Longer-Lasting Battery
    http://www.npr.org/sections/alltechconsidered/2017/05/22/529116034/at-94-lithium-ion-pioneer-eyes-a-new-longer-lasting-battery

    Building a better battery is the holy grail for people who want better technology. Now researchers at the University of Texas, Austin say they may have found that battery — or something close. But their claims have sparked controversy.

    At the center of this debate is a towering figure in the world of science — John Goodenough, who teaches material science at the university.

    In 1980, his work led to the invention of the lithium-ion battery — now crucial to powering everything from cellphones and laptops to electric cars. For a lot of people, that would probably be enough. But at 94 years old, he’s still at it.

    Reply
  40. Tomi Engdahl says:

    Intel’s Flash Gambit Evolving Into 3-Pronged Strategy
    http://www.eetimes.com/document.asp?doc_id=1331754&

    After years of mostly covert development, Intel’s investment in flash memory is finally showing signs of bearing fruit in 2017, a year that some observers are calling “the year of NAND flash.”

    Intel CEO Brian Krzanich claims that the company’s non-volatile memory business will finally be profitable by 2018.

    It’s worth noting that Intel announced record revenue of $866 million in the first quarter of 2017. At the same time, however, the world’s largest chipmaker has vowed to raise the capex for its memory business. Intel, for instance, has been expanding the NAND flash capacity at its Fab 68 in Dalian, China.

    A closer look at Intel’s non-volatile memory business reveals a three-pronged strategy.

    Reply
  41. Tomi Engdahl says:

    Renesas Getting Healthy with Healthcare
    Home-grown FD-SOI, wireless charging coming
    http://www.eetimes.com/document.asp?doc_id=1331763&

    Nobody would question Renesas Electronics’ MCU prowess in the automotive market. The Japanese chip company held the number three spot in the global automotive IC market in 2016, largely by leveraging the strength of its MCUs in cars.

    But what does Renesas have up its sleeve in non-automotive segments?

    Reply
  42. Tomi Engdahl says:

    Imagination Sells SoC Design Group
    http://www.eetimes.com/document.asp?doc_id=1331765&

    Sondrel, an IC design services firm based in the U.K., has agreed to buy Imagination Technologies Group plc’s IMGworks division for an undisclosed sum.

    The IMGworks group provides SoC design and software integration services for designs based around Imagination’s IP cores and system integration of OEM products with chips using Imagination’s technology. The IMGworks engineering team will join Sondrel’s existing team, creating an IC design group with more than 250 people, according to Sondrel (Theale, England).

    Imagination (Kings Langley, England) said earlier this month that it would sell off two of its core businesses, MIPS and Ensigma, after announcing in April that it was notified by its largest customer, Apple, that it would no longer license its graphics cores. Imagination has said that it is negotiating with Apple to resolve the dispute and arrive at a new license and royalty agreement.

    Reply
  43. Tomi Engdahl says:

    System Bits: May 23
    Diamond transistors; switchable transistors; graphene kills bacteria.
    https://semiengineering.com/system-bits-may-23/

    Next-era transistors engage diamonds
    To advance the development of more robust and energy-efficient electronics, materials scientists from Japan’s National Institute for Materials Sciences have developed a new diamond transistor fabrication process.

    To address the challenges of silicon, Jiangwei Liu and the team have recently described new work developing diamond-based transistors. “Silicon-based transistors often suffer from high switching loss during power transmission and fail when exposed to extremely high temperatures or levels of radiation. Given the importance of developing devices that use less power and perform under harsh conditions, there has been a lot of interest within the broader scientific community in determining a way to build transistors that utilizes manufactured diamonds, which are a very durable material.”

    With this in mind, the team developed a new fabrication process involving diamond, bringing “hardened electronics” closer to realization.

    Engaging Diamond for Next-Era Transistors
    https://publishing.aip.org/publishing/journal-highlights/engaging-diamond-next-era-transistors

    Materials scientists in Japan have developed a new diamond transistor fabrication process that promises to advance the development of more robust and energy-efficient electronics

    As consumers around the world have become increasingly dependent on electronics, the transistor, a semiconductor component central to the operation of these devices, has become a critical subject of scientific research. Over the last several decades, scientists and engineers have been able to both shrink the average transistor size and dramatically reduce its production costs. The current generation of smartphones, for example, relies on chips that each feature over 3.3 billion transistors.

    Most transistors are silicon-based and silicon technology has driven the computer revolution. In some applications, however, silicon has significant limitations. These include use in high power electronic devices and in harsh environments like the engine of a car or under cosmic ray bombardment in space. Silicon devices are prone to faltering and failing in difficult environments.

    “Silicon-based transistors often suffer from high switching loss during power transmission and fail when exposed to extremely high temperatures or levels of radiation,” Liu said. “Given the importance of developing devices that use less power and perform under harsh conditions, there has been a lot of interest within the broader scientific community in determining a way to build transistors that utilizes manufactured diamonds, which are a very durable material.”

    Reply
  44. Tomi Engdahl says:

    Ian King / Bloomberg:
    Samsung Electronics to separate semiconductor foundry business into a new unit and lays out foundry process roadmap, revealing 4nm process generation

    Samsung Says It’s Serious About Foundry, Creates Business Unit
    https://www.bloomberg.com/news/articles/2017-05-24/samsung-says-it-s-serious-about-foundry-creates-business-unit

    Samsung Electronics Co., the world’s second-biggest chipmaker, is increasing its effort in semiconductor outsourcing, separating the company’s foundry business into a new unit as part of a challenge to market leader Taiwan Semiconductor Manufacturing Co.

    Creating a separate unit for the business may ease the concerns of some potential customers who compete with other parts of Samsung

    Samsung’s commitment underlines the importance of its chip unit and the growth in demand for outsourced production of chips.

    TSMC, which dominates the market by producing components for companies such as Apple Inc., has posted double-digit percentage revenue gains for the last five years.

    Reply
  45. Tomi Engdahl says:

    Skills Gap Widens in Electronics Manufacturing
    A study by IPC finds that most electronics companies are having a hard time finding qualified production workers and skilled engineers.
    https://www.designnews.com/automation-motion-control/skills-gap-widens-electronics-manufacturing/112857370756857?cid=nl.x.dn14.edt.aud.dn.20170526.tst004t

    The dearth of skilled workers for manufacturing is hitting the electronics industry in both production and engineering. In order to identify just what’s lacking in the manufacturing workforce, IPC – the Association Connecting Electronics Industries – conducted a study that examines how the gap affects US electronics manufacturers. The results are included in the study, “Findings on the Skills Gap in US Electronics Manufacturing .” IPC researchers found that that most companies are having a hard time recruiting qualified production workers, and an even harder time finding qualified engineers and other technical professionals.

    IPC researchers didn’t expect the regional differences that showed up in the results. “We found there is a difference in terms of recruiting production workers if different areas of the country,”

    Manufacturing Is Not Top of Mind for the Young

    The goal of the study was to identify specifics about the skills gap so that organizations such as IPC and employers affected by the skills gap can determine what actions they can take to help build the skill base of the US labor force.

    One thing the study revealed is there is a combination of factors causing the skills gap. For one, manufacturing is not on the mind of prospective production workers and engineers. “A declining manufacturing sector is coupled with the trend of Baby Boomers who are aging out,” said Starr. “Because of this, the younger sector doesn’t have manufacturing on their radar. They’re drawn to several other sectors besides manufacturing.”

    The Skills Gap Is New for Electronics

    Among production jobs that are going unfilled, the study identified general assembler and hand solderer are the most difficult to fill. On the professional side, quality control, process, and entry-level electrical engineers have been hardest to find. Insufficient experience is the most common reason that production applicants fall short for most positions. For many engineering and other technical professional positions – especially in process, test and quality control – the leading reason jobs went unfilled was that there were no applicants at all.

    Starr noted that the skills gap in the electronic industry is a relatively new phenomenon. “The study didn’t measure this length of the shortage. I began to hear about it three or four years ago,”

    Reply
  46. Tomi Engdahl says:

    Samsung Unveils Scaling, Packaging Roadmaps
    https://semiengineering.com/samsung-unveils-scaling-packaging-roadmaps/

    Foundry unit rolls out ambitious plan down to 4nm, along with 18nm FD-SOI and advanced packaging developments.

    Samsung Foundry unveiled an aggressive roadmap that scales down to 4nm, and which includes a fan-out wafer-level packaging technology that bridges chips in the redistribution layer, 18nm FD-SOI, and a new organizational structure that allows the unit much greater autonomy as a commercial enterprise.

    The moves put Samsung Foundry in direct competition with Intel, GlobalFoundries and TSMC, as well as Outsourced Semiconductor Assembly and Test vendors, across a wide swath of markets ranging from mobile devices to IoT, Magnetoresistive RAM and RF. Samsung also announced its plan to begin risk production for 8nm LPP this year, and to begin using EUV in its 7nm LPP process next year. EUV is expected to reduce the number of photomasks required at that node by about 20.

    Reply
  47. Tomi Engdahl says:

    Embracing ISO 26262: Efficient Verification Of Safety-Critical Hardware
    https://semiengineering.com/embracing-iso-26262-efficient-verification-of-safety-critical-hardware/

    How automotive suppliers can continue to advance their technology without sacrificing safety.

    Using Formal to Verify Safety-Critical Hardware for ISO 26262
    https://www.onespin.com/safetycritical/

    Reply
  48. Tomi Engdahl says:

    DRAM Price Surge Continues
    http://www.eetimes.com/document.asp?doc_id=1331796&

    Global DRAM sales reached a record high of $14.1 billion in the first quarter, driven by a roughly 30 percent increase in the average contract price of PC DRAM modules, according to memory chip price tracking firm DRAMeXchange.

    First quarter DRAM revenue was up by more than 13 percent compared with the fourth quarter of 2016, the firm said.

    According to DRAMeXchange, most PC OEMs negotiated first quarter DRAM contracts at the end of 2016, when DRAM was in tight supply. Not only did these price increases affect PC DRAM, but they also spilled over into the server and mobile DRAM markets, increasing the price of mobile DRAM products by nearly 10 percent on average, according to the firm.

    Global revenue for mobile DRAM fell by just 1.7 percent in the first quarter compared to the fourth quarter of 2016, DRAMeXchange said.

    Reply
  49. Tomi Engdahl says:

    RF Filters Boost MEMS Market
    http://www.eetimes.com/document.asp?doc_id=1331794&

    The total value of the global MEMS market is projected to grow from about $13 billion in 2017 to more than $25 billion in 2022, driven largely by growth in RF applications like RF MEMS filters, according to a new report by French market research firm Yole Développement.

    Increased demand for RF MEMS filters is being driven by the emergence of 4G technology and increasing complexity of cellular communications, according to Yole (Lyon, France). The market research firm expects sales of RF MEMS filters — the biggest business in the RF front-end — to increase at a compound annual growth rate (CAGR) of 35 percent between 2017 and 2022.

    Reply

Leave a Comment

Your email address will not be published. Required fields are marked *

*

*