Electronics trends for 2018

Here are some of my collection of newest trends and predictions for year 2018. I have not invented those ideas what will happen next year completely myself. I have gone through many articles that have given predictions for year 2018. Then I have picked and mixed here the best part from those articles (sources listed on the end of posting) with some of my own additions to make this posting.This article contains very many quotations from those source articles (hopefully all acknowledged with link to source).

The general trend in electronics industry is that the industry growth have been driven by mobile industry. Silicon content in smartphones and other mobile devices is increasing as vendors add greater functionality. Layering on top of that are several emerging trends such as IoT, big data, AI and smart vehicles that are creating demand for greater computing power and expanding storage capacity.

 

Manufacturing trends

According to Foundry Challenges in 2018 article the silicon foundry business is expected to see steady growth in 2018. The growth in semiconductor manufacturing will remain steady, but there will be challenges in the manufacturing capacity and  expenses to move to the next nodes. For most applications, unless you must have highest levels of performance, there may not be as compelling a business case to focus on the bleeding-edge nodes. Over the last two years, the IC industry has experienced an acute shortage of 200mm fab capacity (legacy MCU, power, sensors, 6-micron to 65nm). In 2018, 200mm capacity will remain tight. An explosion in 200mm demand has set off a frenzied search for used semiconductor manufacturing equipment that can be used at older process nodes. The problem is there is not enough used equipment available. The profit margins in manufacturing are so thin in markets served by those fabs that it’s hard to justify paying current rising equipment prices, and newcomers may have a tough time making inroads. Foundries with fully depreciated 200mm equipment and capacity already are seeing increased revenues in their 200mm business.The specialty foundry business is undergoing a renaissance, thanks to the emergence of 5G and automotive.

300mm is expected to follow a similar path for lack of capacity because 300mm fabs already produce leading-edge chips and more mainstream 300mm demand is driven by MCUs, wireless communications and storage applications. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm

In 2017, marking the first time that the semiconductor equipment market has exceeded the previous market high of US$47.7 billion set in 2000. Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAM. In 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017. In 2018, 7.5 percent growth is expected to result in sales of US$60.1 billion for the global semiconductor equipment market – another record-breaking year. Demand looks solid across the three main growth drivers for fab tool vendors—DRAM, NAND and foundry/logic.
Rising demand for chips is hitting the IC packaging supply chain, causing shortages of select manufacturing capacity, various package types, leadframes and even some equipment. Spot shortages for some IC packages began showing up in 2017, but the problem has been growing and spreading since then, so  packaging customers may encounter select shortages well into 2018Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018.

Market for advanced packaging begins to diverge based on performance and price. Advanced Packaging is now viewed as the best way to handle large amounts of data at blazing speeds.

Moore’s law

Many recent publications say Moore’s Law is dead. Though Moore’s Law is dead may be experiencing some health challenges, it’s not time to start digging the grave for the semiconductor and electronics market yet

Even smaller nodes are still being taken to use in high end chips. The node names are confusing. Intel’s 10nm technology is roughly equivalent to the foundry 7nm node.In 2018, Intel is expected to finally ramp up 10nm finally in the first half of 2018. In addition, GlobalFoundries, Samsung and TSMC will begin to ship their respective 7nm finFET processes. On the leading edge, GlobalFoundries, Intel, Samsung and TSMC start migrating from the 16nm/14nm to the 10nm/7nm logic nodes. It is expected that some chip-makers face some challenges on the road. Time will tell if GlobalFoundries, Samsung and TSMC will struggle at 7nm. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm. 7nm is projected to generate sales from $2.5 billion to $3.0 billion in 2018. Over time 10nm/7nm is expected to be a big and long-running node. Suppliers of FPGAs and processors are expected to jump on 10nm/7nm.

South Korea’s Samsung Electronics said it has commenced production of the second generation of its 10nm-class 8-Gb DDR4 DRAM. Devices labeled 10nm-class have feature sizes as small as 10 to 19 nanometers. With the continued need for shrinking pattern dimensions, semiconductor manufacturers continue to implement more complex patterning techniques, such as advanced multi-patterning, for the 10nm design node and beyond. They also are investing significant development effort in readying EUV lithography for production at the 7/5nm design nodesSamsung is planning to begin transitioning to EUV for logic chips next year at the 7nm node, although it is unclear when the technology will be put into production for DRAM.

There will be talk on even smaller nodes. FinFETs will get extended to at least to 5nm, and possibly 3nm in next 5 years. The path to 5nm loks pretty clear. FinFETs will get extended at least to 5nm. It’s possible they will get extended to 3nm. EUV will be used at new nodes, followed by High NA Lithography. New smaller nodes challenges the chip design as abstractions become more difficult at 7nm and beyond. Models are becoming more difficult to develop, integrate and utilize effectively at 10/7nm and beyond as design complexity, process variation and physical effects add to the number of variables that need to be taken into account. Materials and basic structures may diverge by supplier, at 7 nm and beyond. Engineering and scientific teams at 3nm and beyond will require completely different mixes of skills than today.

Silicon is still going strong, but the hard fact is that CMOS has been running out of steam for several nodes, and that becomes more obvious at each new node. To extend into new markets and new process nodes Chipmakers Look To New Materials. There are a number of compounds in use already (generally are being confined to specific niche applications), such as gallium arsenide, gallium nitride, and silicon carbide. Silicon will be supplemented by 2D materials to extend Moore’s Law. Transition metal dichalcogenides (TMDCs), a class of 2D materials derived from basic elements—principally tellurium, selenium, sulfur, and oxygen—are being widely explored by researchers. TMDCs are functioning as semiconductors in conjunction with graphene. Graphene, the wonder material rediscovered in 2004, and a host of other two-dimensional materials are gaining ground in manufacturing semiconductors as silicon’s usefulness begins to fade. Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. Future progress increasingly will require a mix of different materials and disciplines, but silicon will remain a key component.

Interconnect Materials need to to be improved. For decades, aluminum interconnects were the industry standard. In the late 1990s, chipmakers switched to copper. Over the years, transistors have decreased dramatically in size, so interconnects also have had to scale in size leading to roadblock known as the RC challenge. Industry is investing significant effort in developing new approaches to extend copper use and finding new metals. There’s also some investigation into improvements on the dielectric side. The era of all-silicon substrates and copper wires may be coming to an end.

Application markets

Wearables are a question mark. Demand for wearables slowed down in 2017 so much that smart speakers likely outsold wearable devices in 2017 holiday season.  eMarketer is estimating that usage of wearable will grow just 11.9 percent in 2018, rising from 44.7 million adult wearable users in 2017 to 50.1 million in 2018. On the other hand market research firm IDC estimates that the shipments of wearable electronics devices are projected to more than double over the next five years as watches displace fitness trackers as the biggest sellers. IDC forecasts that wearables shipments will increase at a compound annual growth rate of 18.4 percent between 2017 and 2021, rising from 113.2 million this year to 222.3 million in 2021. At the same time fitness trackers are expected to become commodity product. Tomorrow’s wearables will become more fully featured and multi-functional.

The automotive market for semiconductors is shifting into high gear in 2018. Right now the average car has about $350 worth of semiconductor content, but that is projected to grow another 50% by 2023 as the overall automotive market for semiconductors grows from $35 billion to $54 billion. The explosion of drive-by-wire technology, combined with government mandates toward fully electric powertrains, has changed this paradigm—and it impacts more than just the automotive industry. Consider implications beyond the increasingly complex vehicle itself, including new demands on supporting infrastructure. The average car today contains up to 100 million lines of code. Self-driving car will have considerably more code in it. Software controls everything from safety critical systems like brakes and power steering, to basic vehicle controls like doors and windows. Meeting ISO 26262 Software Standards is needed but it will not make the code bug free. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC. The shift to autonomous vehicles marks a major shift in the supply chain—and a major opportunity.

Many applications have need for a long service life — for example those deployed within industrial, scientific and military industries. In these applications, the service life may exceed that of component availability. Replacing an advanced, obsolete components in a design can be very costly, potentially requiring an entire redesign of the electronic hardware and software. The use of programmable devices helps designers not only to address component obsolescence, but also to reduce the cost and complexity of the solution. Programmable logic devices are provided in a range of devices of different types, capabilities and sizes, from FPGAs to System on Chips (SoC) and Complex Programmable Logic Devices (CPLD). The obsolete function can be emulated within the device, whether it is a logic function implemented in programmable logic in a CPLD, FPGA or SoC, or a processor system implemented in an FPGA or SoC.

Become familiar with USB type C connector. USB type C connector is becoming quickly more commonplace than any other earlier interface. In the end of 2016 there were 300 million devices using a USBC connection – a big part was smartphones, but the interface was also widespread on laptops. With growth, the USBC becomes soon the most common PC and peripheral interface. Thunderbolt™ 3 on USBC connector promises to fulfill the promise of USB-C for single-cable docking and so much more.

 

Power electronics

The power electronics market continues to grow and gain more presence across a variety of markets2017 was a good year for electric vehicles and the future of this market looks very promising. In 2017, we saw also how wireless charging technology has been adopted by many consumer electronic devices- including Apple smart phones. Today’s power supplies do more than deliver clean and stable dc power on daily basis—they provide advanced capabilities that can save you time and money.

Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. At the moment, the number of applications for those materials is steadily increasing in the automotive and military industry. Expect to see more adoption of SiC and GaN materials in automotive market.

According to Battery Market Goes Bigger and Better in 2018 article advances in battery technologies hold the keys to continuing progress in portable electronics, robotics, military, and telecommunication applications, as well as distributed power grids. It is difficult to see lithium-ion based batteries being replaced anytime soon, so the advances in battery technology are primarily through the application of lithium-ion battery chemistries. New battery protection for portable electronics cuts manufacturing steps and costs for Lithium-ion.

Transparency Market Research analysts predict that the global lithium-ion battery market is poised to rise from $29.67 billion in 2015 to $77.42 billion in 2024 with a compound annual growth rate of 11.6 %. That growth has already spread from the now ubiquitous consumer electronics segment to automotive, grid energy, and industrial applications. Dramatic increase is expected for battery power for the transportation, consumer electronic, and stationary segments. According to Bloomberg New Energy Finance (BNEF), the global energy-storage market will double six times between 2016 and 2030, rising to a total of 125 G/305 gigawatt-hours. In 2018, energy-storage systems will continue proliferating to provide backup power to the electric grid.

Memory

Memory business boomed in 2017 for both NAND and DRAM. The drivers for DRAM are smartphones and servers. Solid-state drives (SSDs) and smartphones are fueling the demand for NAND.  Both the DRAM and NAND content in smartphones continues to grow, so memory business will do well in 2018.Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAMIn 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017.

NAND Market Expected to Cool in Q1 from the crazy year 2017, but it is still growing well because there is increasing demand. The average NAND content in smartphones has been growing by roughly 50% recently, going from approximately 24 gigabytes in 2016 to approximately 38 gigabytes today.3D NAND will do the heavy memory lifting that smartphone users demand. Contract prices for NAND flash memory chips are expected to decline in during the first quarter of 2018 as a traditional lull in demand following the year-end quarter.

Lots of 3D NAND will go to solid state drives in 2018. IDC forecasts strong growth for the solid-state drive (SSD) industry as it transitions to 3D NAND.  SSD industry revenue is expected to reach $33.6 billion in 2021, growing at a CAGR of 14.8%. Sizes of memory chips increase as number of  layer in 3D NAND are added. We’ve already scaled up to 48 layers. Does this just keep scaling up, or are there physical limits here? Maybe we could see a path to 256 layers in few years.

Memory — particular DRAM — was largely considered a commodity business. Though that it’s really not true in 2017. DRAM memory marked had boomed in 2017 at the highest rate of expansion in 23 years, according to IC Insights. Skyrocketing prices drove the DRAM market to generate a record $72 billion in revenue, and it drove total revenue for the IC market up 22%. Though the outlook for the immediate future appears strong, a downturn in DRAM more than likely looms in the not-too-distant future. It will be seen when there are new players on the market. It is a largely unchallenged assertion that Chinese firms will in the not so distant future become a force in semiconductor memory market. Chinese government is committed to pumping more than $160 billion into the industry over a decade, with much of that ticketed for memory startups.

There is search for faster memory because modern computers, especially data-center servers that skew heavily toward in-memory databases, data-intensive analytics, and increasingly toward machine-learning and deep-neural-network training functions, depend on large amounts of high-speed, high capacity memory to keep the wheels turning. The memory speed has not increased as fast as the capacity. The access bandwidth of DRAM-based computer memory has improved by a factor of 20x over the past two decades. Capacity increased 128x during the same period. For year 2018 DRAM remains a near-universal choice when performance is the priority. There has been some attempts to very fast memory interfaces. Intel the company has introduced the market’s first FPGA chip with integrated high-speed EMBED (Embedded Multi-Die Interconnect Bridge): The Stratix 10 MX interfaces to HMB2 memory (High Memory Bandwidth) that offers about 10 times faster speed than standard DDR-type DIMM.

There is search going on for a viable replacement for DRAM. Whether it’s STT-RAM or phase-change memory or resistive RAM, none of them can match the speed or endurance of DRAM. Necessity is the mother of invention, and we see at least two more generations after 1x. XPoint is also coming up as another viable memory solution that could be inserted into the current memory architecture. It will be interesting to see how that plays out versus DRAM.

5G and IoT

5G something in it for everyone. 5G is big.  5G New Radio (NR) wireless technology will ultimately impact everyone in the electronics and telecommunications industries. Most estimates say 2020 is when we will ultimately see some real 5G deployments on a scale. In the meantime, companies are firming up their plans for whatever 5G products and services they will offer. Though test and measurement solutions will be key in the commercialization cycle. 5G is set to disrupt test processes. If 5G takes off, the technology will propel the development of new chips in both the infrastructure and the handset. Data centers require specialty semiconductors from power management to high-speed optical fiber front-ends. 5G systems will drive more complexity in RF front-ends .5G will offer increased capacity and decreased latency for some critical applications such as vehicle-to-vehicle (V2V) or vehicle-to-infrastructure (V2I) communications for advanced driver assistance systems (ADAS) and self-driving vehicles. The big question is whether 5G will disrupt the landscape or fall short of its promises.

Electronics manufacturers expect a lot from Internet of Thing. The evolution of intelligent electronic sensors is creating a revolution for IoT and Industrial IoT as companies bring new sensor-based, intelligent systems to market. The business promise is that the proliferation of smart and connected “things” in the Industrial Internet of Things (IIoT) provides tremendous opportunities for increased performance and lower costs. Industrial Internet of Things (IIoT) has a market forecast approaching $100 billion by 2020. Turning volumes of factory data into actionable information that has value is essential. Predictive maintenance and asset tracking are two big IoT markets to watch in 2018 because they will provide real efficiencies and improved safety. It will be about instrumenting our existing infrastructures with sensors that improve their reliability and help predict failures. It will be about tracking important assets through their lifecycles.

A new breed of designers has arrived that is leveraging inexpensive sensors to build the intelligent systems at the edge of the Internet of Things (IoT). They work in small teams, collaborate online, and they expect affordable design tools that are easy to use in order to quickly produce results. Their goal is to deliver a functioning device or a proof-of-concept to their stakeholders while spending as little money as possible to get there. We need to become multi-functional engineers who can comfortably work in the digital, RF, and system domains.

The Io edge sensor  device usually needs to be cheap. Simple mathematical reasoning suggests that the average production cost per node must be small, otherwise the economics of the IoT simply are not viable. Most suppliers to the electronics industry are today working under the assumption that the bill-of-materials (BoM) cost of a node cannot exceed $5 on average. While the sensor market continues to garner billions of dollars, the average selling price of a MEMS sensor, for example, is only 60 cents.

Designing a well working and secure IoT system is still hard. IoT platforms are very complex distributed systems and managing these distributed systems is often an overlooked challenge. When designing for the IoT, security needs to be addressed from the Cloud down to each and every edge device. Protecting data is both a hardware and a software requirement, as more data is being stored and analyzed in edge devices and gateways.

The continued evolution of powerful embedded processors is enabling more functionality to be consolidated into single heterogeneous multicore devices. You will see more mixed criticality designs – those designs which contain both safety-critical and non-safety critical processes running on the same chip. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC.

AI

There is clearly a lot of hype surrounding machine learning (ML) and artificial intelligence (AI) fields. Over the past few years, machine learning (ML) has evolved from an interesting new approach that allows computers to beat champions at chess and Go, into one that is touted as a panacea for almost everything. Machine learning already has delivered beneficial results in certain niches, but it has potential for a bigger and longer lasting impact because of the demand for broad insights and efficiencies across industries. Also EDA companies have been investing in this technology and some results are expected to be announced.

The Battle of AI Processors Begins in 2018. Machine learning applications have a voracious appetite for compute cycles, consuming as much compute power as they can possibly scrounge up. As a result, they are invariably run on parallel hardware – often parallel heterogeneous hardware—which creates development challenges of its own. 2018 will be the start of what could be a longstanding battle between chipmakers to determine who creates the hardware that artificial intelligence lives on. Main contenders on the field at the moment are CPUs, GPUs, TPUs (tensor processing units), and FPGAs. Analysts at both Research and Markets and TechNavio have predicted the global AI chip market to grow at a compound annual growth rate of about 54% between 2017 and 2021.

 

Sources:

Battery Market Goes Bigger and Better in 2018

Foundry Challenges in 2018

Smart speakers to outsell wearables during U.S. holidays, as demand for wearables slows

Wearables Shipments Expected to Double by 2021

The Week In Review: Manufacturing #186

Making 5G Happen

Five technology trends for 2018

NI Trend Watch 2018 explores trends driving the future faster

Creating Software Separation for Mixed Criticality Systems

Isolating Safety and Security Features on the Xilinx UltraScale+ MPSoC

Meeting ISO 26262 Software Standards

DRAM Growth Projected to be Highest Since ’94

NAND Market Expected to Cool in Q1

Memory Market Forecast 2018 … with Jim Handy

Pushing DRAM’s Limits

3D NAND Storage Fuels New Age of Smartphone Apps

$55.9 Billion Semiconductor Equipment Forecast – New Record with Korea at Top

Advanced Packaging Is Suddenly Very Cool

Fan-Outs vs. TSVs

Shortages Hit Packaging Biz

Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018

Rapid SoC Proof-of-Concept for Zero Cost

EDA Challenges Machine Learning

What Can You Expect from the New Generation of Power Supplies?

Optimizing Machine Learning Applications for Parallel Hardware

FPGA-dataa 10 kertaa nopeammin

The 200mm Equipment Scramble

Chipmakers Look To New Materials

The Trouble With Models

What the Experts Think: Delivering the next 5 years of semiconductor technology

Programmable Logic Holds the Key to Addressing Device Obsolescence

The Battle of AI Processors Begins in 2018

For China’s Memory Firms, Legal Tests May Loom

Predictions for the New Year in Analog & Power Electronics

Lithium-ion Overcomes Limitations

Will Fab Tool Boom Cycle Last?

The Next 5 Years Of Chip Technology

Chipmakers Look To New Materials

Silicon’s Long Game

Process Window Discovery And Control

Toward Self-Driving Cars

Sensors are Fundamental to New Intelligent Systems

Industrial IoT (IIoT) – Where is Silicon Valley

Internet of things (IoT) design considerations for embedded connected devices

How efficient memory solutions can help designers of IoT nodes meet tight BoM cost targets

What You Need to Become a Multi-Functional Engineer

IoT Markets to Watch in 2018

USBC yleistyy nopeasti

1,325 Comments

  1. Tomi Engdahl says:

    Pressurized superconductors approach room-temperature realm
    https://physicstoday.scitation.org/do/10.1063/PT.6.1.20180823b/full/

    When subjected to extreme pressure, a compound of lanthanum and hydrogen behaves as a conventional superconductor at temperatures up to 280 K, according to new research.

    Reply
  2. Tomi Engdahl says:

    A new antenna using single atoms could usher in the age of atomic radio
    https://arstechnica.com/science/2018/09/a-new-antenna-using-single-atoms-could-usher-in-the-age-of-atomic-radio/

    Anderson is a co-author of a new paper posted to the arXiv describing a novel alternative to conventional antennae, based on vapor cells filled with a gas of so-called “Rydberg atoms.” That just means the atoms are in an especially excited state, well above their ground (lowest-energy) state. This makes them especially sensitive to passing electric fields, like the alternating fields of radio waves. All you need is a means of detecting those interactions to turn them into quantum sensors.

    Reply
  3. Tomi Engdahl says:

    Atomic Layer Etching: Rethinking the Art of Etch
    https://semiengineering.com/atomic-layer-etching-rethinking-the-art-of-etch/

    Leveraging plasma has made ALE a thousand times faster than earlier approaches.

    Reply
  4. Tomi Engdahl says:

    A New Type Of Switch
    https://semiengineering.com/a-new-type-of-switch/

    Several companies come together in the early development of a correlated electron switch.

    Back in July, Applied Materials announced that we’d been selected by the Defense Advanced Research Projects Agency (DARPA) to develop technology for AI. While Applied is engaged on the development of many disruptive technologies, it’s not often that we’re in a position to discuss them in early development. Thanks to the vision of DARPA’s Electronics Resurgence Initiative and their generous investments to take us beyond Von Neumann computing, we’re in a position to pursue some real game-changing device technology. We can also share a few more details about what early technology development looks like at Applied.

    At the core of this project are the efforts of Applied, Arm and Symetrix to develop a correlated electron switch. This of course begs the question, “what the heck is a correlated electron?” Correlated electron materials are materials that break some of the rules of classical band theory. These materials are really best considered as a type of quantum matter.

    Reply
  5. Tomi Engdahl says:

    China’s Electric Car Ambitions
    https://semiengineering.com/chinas-electric-car-ambitions/

    The nation hopes to dominate in batteries and raw materials.

    China, the world’s largest car market, is also leading the charge in the electric vehicle business.

    But with little or no fanfare, China also wants to dominate the critical ecosystem for battery-electric vehicles and hybrids. In fact, the nation is already the world’s largest producer of batteries for electric vehicles. And it also controls a sizable part of the supply chain, such as the raw materials, chemical processing and other segments.

    Reply
  6. Tomi Engdahl says:

    SiC Chip Demand Surges
    https://semiengineering.com/sic-chip-demand-surges/

    Electric vehicles drive up market for silicon carbide power semiconductors, but cost remains an issue.

    Reply
  7. Tomi Engdahl says:

    Variation’s Long, Twisty Tail
    https://semiengineering.com/variations-long-twisty-tail/

    Multiple sources of variation are causing unexpected problems in everything from AI chips to automotive reliability and time to market.

    Reply
  8. Tomi Engdahl says:

    Cryogenic Etch Re-Emerges
    https://semiengineering.com/cryogenic-etch-re-emerges/

    Technology could play a bigger role in 3D NAND, but control and cost remain problematic.

    After years in R&D, a technology called cryogenic etch is re-emerging as a possible option for production as the industry faces new challenges in memory and logic.

    Cryogenic etch removes materials in devices with high aspect ratios at cold temperatures, although it has always been a challenging process. Cryogenic etch is difficult to control and it requires specialized cryogenic gases in the fab, which are expensive.

    Developed in the 1980s, cryogenic etch has some merits, but it has been primarily used in R&D, and not in production, for MEMS and other devices. The industry doesn’t actually sell cryogenic etch systems.

    The purists contend that an etch conducted at a temperature of minus 100°C (minus 148°F) or below constitutes a cryogenic etch.

    Reply
  9. Tomi Engdahl says:

    Tsinghua Unigroup Chairman Expects China to Become Top-Four Chip Maker in Ten Years
    https://www.yicaiglobal.com/news/tsinghua-unigroup-chairman-expects-china-become-top-four-chip-maker-ten-years

    China’s semiconductor sector is expected to enter a period of stabilization over the next five years and the country could become one of the world’s biggest players within ten years, according to the head of the largest state-owned Chinese chipmaker.

    China may become a top-four player in terms of integrated circuit production inside ten years, Tsinghua Unigroup Chairman Zhao Weiguo said at the 2018 China IC Summit held in Nanjing in eastern Jiangsu province on Sept. 19

    Zhao said that China’s semiconductor manufacturing has developed rapidly in recent years, especially in terms of mobile and internet of things chips. The arrival of 5G will bring great opportunities for the development of China’s IC

    Much of China’s IC manufacturing still lags behind that of Europe and the US, with around 90 percent of the country’s players in the sector loss-making, he added.

    Reply
  10. Tomi Engdahl says:

    Three ways to weather a ceramic capacitor shortage
    https://www.electronicproducts.com/Passive_Components/Three_ways_to_weather_a_ceramic_capacitor_shortage.aspx

    Capacitor manufacturers are aware of the challenges that supply shortages bring and can help designers with alternative options — selecting different values, evaluating other technologies, and redesigns

    The current IP&E shortage is a cause for serious concern. Demand has so outstripped production that common components such as multilayer ceramic chip capacitors (MLCCs), chip resistors, and the like are now seeing lead times of 40 to 60 weeks. That’s enough to exhaust any reasonable inventory reserve that a manufacturer might have and result in a shutdown of a production line and corresponding loss of revenue and even market share. These shortages, then, become a company-wide concern, not just a manufacturing or procurement worry.

    Reply
  11. Tomi Engdahl says:

    A new antenna using single atoms could usher in the age of atomic radio
    https://arstechnica.com/science/2018/09/a-new-antenna-using-single-atoms-could-usher-in-the-age-of-atomic-radio/

    The team tested their device by recording themselves singing “Mary Had a Little Lamb”

    Reply
  12. Tomi Engdahl says:

    Ask Hackaday: How’s That Capacitor Shortage Going?
    https://hackaday.com/2018/09/18/ask-hackaday-hows-that-capacitor-shortage-going/

    When we first reported on the possibility of a global shortage of chip capacitors and resistors, things were for the time being, okay. Yes, major manufacturers were saying they were spinning down production lines until it was profitable to start them up again, but there was relief: parts were in stock, and they didn’t cost that much more.

    Now, it’s a different story. We’re in the Great Capacitor Shortage of 2018, and we don’t know when it’s going to get any better.

    Global Resistor Shortage, Economics, and Consumer Behavior
    https://hackaday.com/2018/01/31/global-resistor-shortage-economics-and-consumer-behavior/

    Reply
  13. Tomi Engdahl says:

    Week In Review: Manufacturing, Test
    https://semiengineering.com/week-in-review-manufacturing-test-12/

    The Office of the U.S. Trade Representative (USTR) has released a list of $200 billion worth of Chinese imports that will be subject to tariffs. These actions are on top of another set of tariffs, which were put in place. The additional tariffs will be effective starting Sept. 24, and initially will be in the amount of 10%. Starting Jan. 1, 2019, the level of the additional tariffs will increase to 25%.

    USTR Finalizes Tariffs on $200 Billion of Chinese Imports in Response to China’s Unfair Trade Practices
    https://ustr.gov/about-us/policy-offices/press-office/press-releases/2018/september/ustr-finalizes-tariffs-200

    Reply
  14. Tomi Engdahl says:

    DRAM Boom and Bust is Business as Usual
    https://www.eetimes.com/document.asp?doc_id=1333737

    Boom or bust. It’s long been the cycle for established memory technologies. As 3D NAND pricing softens, DRAM still appears to be going strong. But for how long? And will these ups and downs always be the norm despite diversified demand and emerging vendors from China?

    One key characteristic of the DRAM market is that there are currently only three major suppliers — Micron Technology, SK Hynix and Samsung Electronics.

    “They’re keeping a pretty tight rein on their capacity,”

    Reply
  15. Tomi Engdahl says:

    Samsung Reportedly Plans to Cut Memory Production
    https://www.eetimes.com/document.asp?doc_id=1333763

    South Korea’s Samsung Electronics is cutting back plans for memory production increases in an effort to keep supplies tight in the face of slowing demand, according to a report by the Bloomberg news service.

    The report, which cites unnamed sources said to be briefed on the matter, said that Samsung now expects DRAM bit growth of less than 20% this year and NAND flash bit growth of about 30%. Samsung had said earlier this year that it expected DRAM bit growth of about 20% and NAND bit growth of about 40% this year.

    Reply
  16. Tomi Engdahl says:

    Chinese Researchers Develop Non-Toxic, Flexible Material for Circuits
    https://www.designnews.com/electronics-test/chinese-researchers-develop-non-toxic-flexible-material-circuits/207310814559297?ADTRK=UBM&elq_mid=5733&elq_cid=876648

    A hybrid material developed by Chinese researchers can be used to develop flexible, biocompatible electronic circuits.

    Researchers have developed a hybrid material of polymer and liquid metal that can be used to develop non-toxic, stretchable circuits for next-generation flexible electronics and medical devices.

    A team at the National Center for Nanoscience and Technology created the material. Called a metal-polymer conductor (MPC), it is composed of elastic polymer and liquid metal. It can be bent and stretched at will, meaning that circuits made with it can take most two-dimensional shapes.

    The material also is biocompatible, making the components made with it non-toxic

    Reply
  17. Tomi Engdahl says:

    Substituting solid tantalum and tantalum polymer capacitors for surface-mount MLCCs
    https://www.edn.com/design/pc-board/4461117/Substituting-solid-tantalum-and-tantalum-polymer-capacitors-for-surface-mount-MLCCS?utm_source=newsletter&utm_campaign=link&utm_medium=EDNFunFriday-20180921

    Due to current bottlenecks in the procurement of surface-mount ceramic capacitors, designers are looking for substitutes to keep their production lines running smoothly, and to find long-term replacements for hard to find ratings. This article will examine key performance characteristics that will help streamline the evaluation process of alternative capacitor technologies for the replacement of multilayer ceramic chip capacitors (MLCCs). The most likely MLCC candidates for successful replacement by tantalum technology are the higher capacitance values in case sizes from 0402 to 1210. Applications that require high capacitance for filtering or voltage stabilization are good candidates for replacement.

    There are two primary capacitor technologies that are most often considered for surface-mount applications: electrostatic and electrolytic. The most common electrostatic types are MLCCs and film capacitors. The most common electrolytic types are aluminum and tantalum (including both solid and polymer tantalum technologies). When looking to substitute for high capacitance MLCCs, it makes sense to pick tantalum electrolytic devices to get the broadest overlap in size, surface mount ability, capacitance values, voltage ratings, and reliability.

    Reply
  18. Tomi Engdahl says:

    Market Trends For Large Volume Semi Products
    Why discretes, analog and optoelectronics can impact the entire chip industry.
    https://semiengineering.com/market-trends-for-large-volume-semi-products/

    Material and capacity shortages typically prompt changes in normal operating procedures, especially purchasing strategies. If the uncertainty regarding world trade policies and tariffs are added on top of the shortages, the impact results in unusual gyrations in industry sales data and possible misleading signals. Discretes, analog and opto are the three largest semiconductor product categories in terms of unit sales. Let’s take a look at three product markets that dominate semiconductor sales in terms of units but are sometimes overlooked in terms of the impact on the overall industry.

    Discretes typically go unnoticed due to the product’s low price point and its consistent, seemingly monotonous use of mature technologies.

    Units are expected to decline by 2% in 2018 as ASPs take a significant jump.

    ASPs actually started growing at the beginning of 2017. Over the past 18 months, monthly ASPs increased 29%; 20% in 2017, and another 13.7% so far in 2018.

    When viewed on a quarterly basis, the graph below shows that ASPs have been increasing for five consecutive quarters

    Optoelectronics make up the second largest semiconductor product category in terms of units. Unlike discretes, optoelectronics are continuing on the path of declining ASPs while units continue to flourish. In 2018, optoelectronics units are expected to grow 22% while ASPs drop 12.6%, resulting in a 6.7% total revenue increase.

    So far in 2018, laser transmitters and opto couplers and isolators have been the biggest contributors to the drop in ASPs, dropping 13% and 6% respectively, since Q4 2017. Laser transmitters are also experiencing an unusually high increase in unit sales and are on track to grow 66% in 2018. Light sensors experienced an odd run-up in prices at the end of 2017 but have fallen back into the normal range of $0.43.

    Finally, analog products make up the third largest semiconductor product group comprising 16% of all semiconductor units. These products are following a more customary growth pattern. Analog units are expected to grow almost 10% in 2018,

    Key products that are contributing to the growth of the analog in 2018 includes power management, and five application specific analog categories.

    Reply
  19. Tomi Engdahl says:

    TSMC to set up new fab for advanced packaging
    https://www.digitimes.com/news/a20180925PD207.html

    Taiwan’s Environmental Protection Administration (EPA) has started its environmental impact assessment on land designated for construction of a new plant by Taiwan Semiconductor Manufacturing Company (TSMC) in Chunan, Miaoli (northern Taiwan), according to government sources locally.

    The new TSMC plant in Chunan will be dedicated to offering the foundry’s in-house developed advanced packaging technologies, the sources disclosed.

    TSMC’s new advanced packaging fab will fulfill mainly orders demanding the foundry’s CoWoS (chip-on-wafer-on-substrate) and integrated fan-out (InFO) wafer-level packaging, and its newly-unveiled system-on-integrated-chips (SoIC) and wafer-on-wafer (WoW) packaging services, the sources indicated.

    Reply
  20. Tomi Engdahl says:

    Miniaturization Rules Capacitor Innovation: A Pictorial Update
    https://www.eetimes.com/document.asp?doc_id=1333785

    To-date, the evolution of electronic components such as capacitors, resistors, diodes, and RF filters has trended toward continued miniaturization while retaining as many parametrics as possible and, at times, adding functionality. Improvements across multiple scientific fields have contributed to these designs, but the most notable progress includes purer ceramic, tantalum, and thin-film materials and improved processes, such as closed-loop manufacturing (CLM).

    These shifts have enabled extremely accurate computer-aided design (CAD) models which can be translated into marketable, real-world parts. Inventive combinations of other techniques, including packaging designs, fine copper terminations, and photolithography have further expanded components for miniature circuit designs.

    Reply
  21. Tomi Engdahl says:

    Samsung Reportedly Plans to Cut Memory Production
    https://www.eetimes.com/document.asp?doc_id=1333763

    South Korea’s Samsung Electronics is cutting back plans for memory production increases in an effort to keep supplies tight in the face of slowing demand, according to a report by the Bloomberg news service.

    The report, which cites unnamed sources said to be briefed on the matter, said that Samsung now expects DRAM bit growth of less than 20% this year and NAND flash bit growth of about 30%. Samsung had said earlier this year that it expected DRAM bit growth of about 20% and NAND bit growth of about 40% this year.

    Reply
  22. Tomi Engdahl says:

    Oscilloscope Sets Real-Time Bandwidth Record
    https://www.eetimes.com/author.asp?section_id=36&doc_id=1333786

    Keysight leapfrogs Teledyne LeCroy after four years on top, pushes Tektronix to third.

    On Sept. 18, Keysight Technologies took over first place in the oscilloscope bandwidth race, toppling Teledyne LeCroy, which held the record since 2014 — technology announced in 2013 — and pushing Tektronix to third.

    Keysight’s UXR1104A Infiniium UXR-Series Oscilloscope captures the record for bandwidth in a real-time sampling oscilloscope at 110 GHz. Now in second place, Teledyne LeCroy’s LabMaster 10 Zi-A reaches 100 GHz while the Tektronix DPO70000SX ATI slips to third place at 70 GHz.

    Reply
  23. Tomi Engdahl says:

    How to find the lowest prices on reliable electronics parts without spending hours comparison shopping
    https://www.eetimes.com/document.asp?doc_id=1333789

    Reply
  24. Tomi Engdahl says:

    Chinese Researchers Develop Non-Toxic, Flexible Material for Circuits
    https://www.designnews.com/electronics-test/chinese-researchers-develop-non-toxic-flexible-material-circuits/207310814559297?ADTRK=UBM&elq_mid=5774&elq_cid=876648

    A hybrid material developed by Chinese researchers can be used to develop flexible, biocompatible electronic circuits.

    Researchers have developed a hybrid material of polymer and liquid metal that can be used to develop non-toxic, stretchable circuits for next-generation flexible electronics and medical devices.

    A team at the National Center for Nanoscience and Technology created the material. Called a metal-polymer conductor (MPC), it is composed of elastic polymer and liquid metal. It can be bent and stretched at will, meaning that circuits made with it can take most two-dimensional shapes.

    Reply
  25. Tomi Engdahl says:

    Engineering Salaries and Jobs Keep Growing
    https://www.designnews.com/automation-motion-control/engineering-salaries-and-jobs-keep-growing?ADTRK=UBM&elq_mid=5774&elq_cid=876648

    In the middle of an economic boom, we’re seeing engineering jobs and salaries continuing to expand, according to new Bureau of Labor Statistics data.

    The average salary for an engineer is $91,010. The average across all engineering disciplines may not be particularly meaningful.

    Reply
  26. Tomi Engdahl says:

    ‘Made in China 2025’: how new technologies could help Beijing achieve its dream of becoming a semiconductor giant
    https://m.scmp.com/business/article/2165575/made-china-2025-how-new-technologies-could-help-beijing-achieve-its-dream

    The third instalment of a series on China’s hi-tech industry development master plan looks at semiconductors, and how the country’s rapid embrace of new technologies could help it close the gap with advanced makers

    Reply
  27. Tomi Engdahl says:

    EUV Roadmap Needs Extension
    https://www.eetimes.com/author.asp?section_id=36&doc_id=1333800

    I believe it’s time to look again at wavelength reduction to understand its pros and cons. We do not know the best choice between 13.5nm and 1nm, so I am calling this new technology option Blue-X–something between deep blue EUV and the X-ray region.

    Moving to the higher numerical aperture (NA) of 0.5 is going to be expensive. The tool cost will more than double to 235 million Euros, and the larger scanner size will require building bigger fabs with higher ceilings.

    Taking 0.3 as the limit of k1 for a single exposure, at a wavelength of 13.5nm, 0.33 NA gives 12nm resolution which improves to 8nm at 0.5 NA. Previously the industry looked at a 6.7nm wavelength, but this option was dropped primarily for lack of bandwidth as we were struggling with power issues.

    Reply
  28. Tomi Engdahl says:

    What Will Intel Do Next?
    https://semiengineering.com/what-will-intel-do-next/

    As demand for application-specific chips accelerates, general-purpose processors are under pressure.

    The writing is on the wall for big processor makers. Apple, Amazon, Facebook and Google are developing their own processors. In addition, there are more than 30 startups developing various types of AI accelerators, as well as a field of embedded FPGA vendors, a couple of discrete FPGA makers, and a slew of soft processor cores.

    This certainly hasn’t been lost on Intel. As the world’s largest processor maker, it also has a significant war chest for R&D and acquisitions, and it has been investing heavily over the past few years in what appears to be a dramatic shift.

    Several acquisitions are particularly noteworthy. It acquired Mobileye ($15.3 billion) to get a foot in the door on autonomous and assisted vehicles. It bought Altera ($16.7 billion) to add flexibility into its products. And it acquired NetSpeed Systems (price not released) for the interconnect fabric, which it can use to tie together various types of chips and memories.

    Reply
  29. Tomi Engdahl says:

    Betting Big On Discontinuity
    https://semiengineering.com/betting-big-on-discontinuity/

    Mentor’s CEO looks at the impact of AI and machine learning, what’s after Moore’s Law, and the surge in EDA and semiconductors.

    Reply
  30. Tomi Engdahl says:

    Is Cloud Computing Suitable for Chip Design?
    https://semiengineering.com/cloud-suitability-for-semiconductor-design/

    Semiconductor design lags behind other industries in adopting the cloud, but there could be some good reasons for that. Change is difficult.

    Reply
  31. Tomi Engdahl says:

    Chip Market Reaches New Heights
    https://www.eetimes.com/document.asp?doc_id=1333816

    Semiconductor sales again set a new monthly record in August, topping $40 billion, on continued strength across all product categories and regional markets.

    The three-month average of chip sales in August grew to a record $40.16 billion, up 1.7% from July and up 14.9% compared to August 2017, according to the SIA, which reports numbers compiled by the World Semiconductor Trade Statistics (WSTS) organization.

    Reply
  32. Tomi Engdahl says:

    ST looks to Leti for high volume GaN on 200mm wafers
    http://www.eenewseurope.com/news/st-looks-leti-high-volume-gan-200mm-wafers

    STMicroelectronics has teamed up with French research lab Leti on gallium nitride technology for power applications on 200mm silicon wafers for high volume production.

    This power GaN-on-Si technology will enable ST to address high-efficiency, high-power applications, including automotive on-board chargers for hybrid and electric vehicles, wireless charging and servers.

    Reply
  33. Tomi Engdahl says:

    Arm Targets Next-Level Autonomy Safety
    https://www.eetimes.com/document.asp?doc_id=1333794

    Arm unveiled Wednesday a new program called “Arm Safety Ready” for its ecosystem partners, and an upgraded processing core called Cortex-A76AE — integrated with split-lock safety features — for SoC designers. Both respond directly to the clamor for greater safety in the era of Advanced Driver Assistance Systems (ADAS) and autonomous driving.

    Reply
  34. Tomi Engdahl says:

    China Forecast to Account for 90% of Pure-Play Foundry Market Growth in 2018
    http://www.icinsights.com/news/bulletins/China-Forecast-To-Account-For-90-Of-PurePlay-Foundry-Market-Growth-In-2018/

    Driver by cryptocurrency device demand, TSMC’s China sales are expected to surge by 79% this year.

    IC Insights’ September Update to The McClean Report shows that as a result of a 51% forecasted increase in the China pure-play foundry market this year (Figure 1), China’s total share of the 2018 pure-play foundry market is expected to jump by five percentage points to 19%, exceeding the share held by the rest of the Asia-Pacific region. Overall, China is forecast to be responsible for 90% of the $4.2 billion increase in the total pure-play foundry market in 2018.

    Reply
  35. Tomi Engdahl says:

    EUV Pellicle, Uptime And Resist Issues Continue
    https://semiengineering.com/euv-pellicle-uptime-and-resist-issues-continue/

    Problems won’t derail next-gen litho, but could limit use and affect schedules.

    Extreme ultraviolet (EUV) lithography is moving closer to realization, but several problems involving scanner uptime, photoresists and pellicles need to be resolved before this long-overdue technology is put into full production.

    Intel, Samsung and TSMC are hoping to insert EUV into production at 7nm and/or 5nm. While the remaining issues don’t necessarily pre-empt using EUV, they do affect the rollout schedule. On the bright side, there are some new technologies for EUV, including actinic pattern mask inspection and multi-beam mask writers.

    Reply
  36. Tomi Engdahl says:

    AI engines, Arm brains, DSP brawn… Versal is Xilinx’s Kitchen Sink Edition FPGA
    Good news: It’s 7nm. Sad news: It’s shipping 2H 2019
    https://www.theregister.co.uk/2018/10/04/xilinx_versal_fgpa/

    XDF Xilinx has packed everything but the kitchen sink into its new Versal family of FPGAs (field programmable gate arrays).

    These are chips that have electronic circuitry you can change on-the-fly as needed, so you can morph their internal logic to suit whatever needs doing. You usually describe how you want your chip to work using a design language like SystemVerilog, which is converted to a block of data fed into the gate array to configure the internal logic.

    Typically, FPGAs are used to prototype custom chips before they are mass manufactured, or as glue between other chips by controlling their accesses to memory and peripherals. These days, engineers are eying up using FPGAs as specialist accelerators, performing work such as network packet inspection and machine-learning math, and taking the strain off the host CPU.

    Well, Xilinx hopes to lure those engineers with its Versal family, which it launched this week at its developer forum in San Jose, USA

    Reply
  37. Tomi Engdahl says:

    Arm Partners with Xilinx to Bring Cortex-M Processors to FPGAs
    https://blog.hackster.io/arm-partners-with-xilinx-to-bring-cortex-m-processors-to-fpga-be60b4c77b1a

    Arm chips can be found in just about everything from mobile devices to SBCs, and now the famous Cortex-M line will be featured in Xilinx FPGA boards through Arm’s DesignStart program, which allows companies to develop custom SoCs and FPGAs with access to the Arm IP.

    Reply
  38. Tomi Engdahl says:

    Xilinx Details SoC-like FPGAs
    https://www.eetimes.com/document.asp?doc_id=1333815

    Xilinx released the first details of its next-generation Everest architecture, now called Versal. It shows the microprocessor landscape is blurring as CPUs, GPUs and FPGAs morph into increasingly similar SoC-like devices.

    Versal shrinks the size of a central FPGA block to make room for more ARM, DSP, inference and I/O blocks. It comes as Intel and AMD make room for beefier GPUs in their x86 chips and Nvidia adds specialty cores for jobs like deep learning on its GPUs.

    Reply
  39. Tomi Engdahl says:

    EUV Roadmap Needs Extension
    https://www.eetimes.com/author.asp?section_id=36&doc_id=1333800

    I believe it’s time to look again at wavelength reduction to understand its pros and cons. We do not know the best choice between 13.5nm and 1nm, so I am calling this new technology option Blue-X–something between deep blue EUV and the X-ray region.

    Moving to the higher numerical aperture (NA) of 0.5 is going to be expensive. The tool cost will more than double to 235 million Euros, and the larger scanner size will require building bigger fabs with higher ceilings.

    Once we have high NA working, it may make sense to consider high NA multipatterning while thinking about even higher NA at even higher cost. However, reducing wavelength can also allow reducing NA which may result in lower tool cost while increasing resolution.

    Taking 0.3 as the limit of k1 for a single exposure, at a wavelength of 13.5nm, 0.33 NA gives 12nm resolution which improves to 8nm at 0.5 NA. Previously the industry looked at a 6.7nm wavelength, but this option was dropped primarily for lack of bandwidth as we were struggling with power issues.

    Reply
  40. Tomi Engdahl says:

    GF Grabs AI Wins with FD-SOI
    https://www.eetimes.com/document.asp?doc_id=1333792

    In its first annual conference since halting work on 7 nm, Globalfoundries described a handful of enhancements to its existing nodes. It also showcased a new customer making at least three embedded deep-learning chips in its 22-nm fully depleted silicon-on-insulator process.

    GF promised to squeeze out 10% to 22% area, performance, and power gains from its 12-/14-nm FinFET and planar nodes. That’s roughly in line with the kind of gains that its much larger rival, TSMC, is reporting in bleeding edge nodes as Moore’s Law slows.

    Synaptics announced that it taped out at GF a low-power 22-nm FD-SOI accelerator for neural networking. It sports tera-operations/second performance using AI technology licensed from an unnamed source. The company plans to tape out in the node a second voice/video chip before the end of the year and a third for AR/VR in early 2019.

    Reply
  41. Tomi Engdahl says:

    Intel Promises to Boost 14nm Production
    https://www.eetimes.com/document.asp?doc_id=1333809

    Seeking to allay fears of revenue shortfall amid tight supply, Intel said Friday that the company believes it has the supply to meet its full-year sales target of $69.5 billion. The company also reiterated plans to increase its capital spending for the year to a record $15 billion and to be in volume production of 10nm chips next year.

    In an open letter published on Intel’s website Friday, Bob Swann, Intel’s interim CEO, said the company increased capital spending includes an additional $1 billion to be spent on increasing 14nm capacity at Intel Fabs in Oregon, Arizona, Ireland and Israel. Swann said the increased spending and other efficiencies is increasing Intel’s supply to respond to customer demand.

    Reply
  42. Tomi Engdahl says:

    TSMC Goes Photon to Cloud
    https://www.eetimes.com/document.asp?doc_id=1333827

    TSMC taped out its first chip in a process making limited use of extreme ultraviolet lithography and will start risk production in April on a 5nm node with full EUV. Separately, the foundry forged partnerships with four partners to support online services for back-end chip design.

    In process technology, TSMC announced it taped out a customer chip in a N7+ node that can use EUV on up to four layers. Its N5 that will use EUV on up to 14 layers will be ready for risk production April. EUV aims to lower costs by reducing the number of masks required for leading-edge designs.

    Rival Samsung is ramping a 7nm node using EUV. Intel is not expected to use EUV anytime soon, analysts say. Globalfoundries announced in August it has halted work on 7nm and EUV.

    Reply

Leave a Comment

Your email address will not be published. Required fields are marked *

*

*