Electronics trends for 2018

Here are some of my collection of newest trends and predictions for year 2018. I have not invented those ideas what will happen next year completely myself. I have gone through many articles that have given predictions for year 2018. Then I have picked and mixed here the best part from those articles (sources listed on the end of posting) with some of my own additions to make this posting.This article contains very many quotations from those source articles (hopefully all acknowledged with link to source).

The general trend in electronics industry is that the industry growth have been driven by mobile industry. Silicon content in smartphones and other mobile devices is increasing as vendors add greater functionality. Layering on top of that are several emerging trends such as IoT, big data, AI and smart vehicles that are creating demand for greater computing power and expanding storage capacity.

 

Manufacturing trends

According to Foundry Challenges in 2018 article the silicon foundry business is expected to see steady growth in 2018. The growth in semiconductor manufacturing will remain steady, but there will be challenges in the manufacturing capacity and  expenses to move to the next nodes. For most applications, unless you must have highest levels of performance, there may not be as compelling a business case to focus on the bleeding-edge nodes. Over the last two years, the IC industry has experienced an acute shortage of 200mm fab capacity (legacy MCU, power, sensors, 6-micron to 65nm). In 2018, 200mm capacity will remain tight. An explosion in 200mm demand has set off a frenzied search for used semiconductor manufacturing equipment that can be used at older process nodes. The problem is there is not enough used equipment available. The profit margins in manufacturing are so thin in markets served by those fabs that it’s hard to justify paying current rising equipment prices, and newcomers may have a tough time making inroads. Foundries with fully depreciated 200mm equipment and capacity already are seeing increased revenues in their 200mm business.The specialty foundry business is undergoing a renaissance, thanks to the emergence of 5G and automotive.

300mm is expected to follow a similar path for lack of capacity because 300mm fabs already produce leading-edge chips and more mainstream 300mm demand is driven by MCUs, wireless communications and storage applications. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm

In 2017, marking the first time that the semiconductor equipment market has exceeded the previous market high of US$47.7 billion set in 2000. Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAM. In 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017. In 2018, 7.5 percent growth is expected to result in sales of US$60.1 billion for the global semiconductor equipment market – another record-breaking year. Demand looks solid across the three main growth drivers for fab tool vendors—DRAM, NAND and foundry/logic.
Rising demand for chips is hitting the IC packaging supply chain, causing shortages of select manufacturing capacity, various package types, leadframes and even some equipment. Spot shortages for some IC packages began showing up in 2017, but the problem has been growing and spreading since then, so  packaging customers may encounter select shortages well into 2018Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018.

Market for advanced packaging begins to diverge based on performance and price. Advanced Packaging is now viewed as the best way to handle large amounts of data at blazing speeds.

Moore’s law

Many recent publications say Moore’s Law is dead. Though Moore’s Law is dead may be experiencing some health challenges, it’s not time to start digging the grave for the semiconductor and electronics market yet

Even smaller nodes are still being taken to use in high end chips. The node names are confusing. Intel’s 10nm technology is roughly equivalent to the foundry 7nm node.In 2018, Intel is expected to finally ramp up 10nm finally in the first half of 2018. In addition, GlobalFoundries, Samsung and TSMC will begin to ship their respective 7nm finFET processes. On the leading edge, GlobalFoundries, Intel, Samsung and TSMC start migrating from the 16nm/14nm to the 10nm/7nm logic nodes. It is expected that some chip-makers face some challenges on the road. Time will tell if GlobalFoundries, Samsung and TSMC will struggle at 7nm. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm. 7nm is projected to generate sales from $2.5 billion to $3.0 billion in 2018. Over time 10nm/7nm is expected to be a big and long-running node. Suppliers of FPGAs and processors are expected to jump on 10nm/7nm.

South Korea’s Samsung Electronics said it has commenced production of the second generation of its 10nm-class 8-Gb DDR4 DRAM. Devices labeled 10nm-class have feature sizes as small as 10 to 19 nanometers. With the continued need for shrinking pattern dimensions, semiconductor manufacturers continue to implement more complex patterning techniques, such as advanced multi-patterning, for the 10nm design node and beyond. They also are investing significant development effort in readying EUV lithography for production at the 7/5nm design nodesSamsung is planning to begin transitioning to EUV for logic chips next year at the 7nm node, although it is unclear when the technology will be put into production for DRAM.

There will be talk on even smaller nodes. FinFETs will get extended to at least to 5nm, and possibly 3nm in next 5 years. The path to 5nm loks pretty clear. FinFETs will get extended at least to 5nm. It’s possible they will get extended to 3nm. EUV will be used at new nodes, followed by High NA Lithography. New smaller nodes challenges the chip design as abstractions become more difficult at 7nm and beyond. Models are becoming more difficult to develop, integrate and utilize effectively at 10/7nm and beyond as design complexity, process variation and physical effects add to the number of variables that need to be taken into account. Materials and basic structures may diverge by supplier, at 7 nm and beyond. Engineering and scientific teams at 3nm and beyond will require completely different mixes of skills than today.

Silicon is still going strong, but the hard fact is that CMOS has been running out of steam for several nodes, and that becomes more obvious at each new node. To extend into new markets and new process nodes Chipmakers Look To New Materials. There are a number of compounds in use already (generally are being confined to specific niche applications), such as gallium arsenide, gallium nitride, and silicon carbide. Silicon will be supplemented by 2D materials to extend Moore’s Law. Transition metal dichalcogenides (TMDCs), a class of 2D materials derived from basic elements—principally tellurium, selenium, sulfur, and oxygen—are being widely explored by researchers. TMDCs are functioning as semiconductors in conjunction with graphene. Graphene, the wonder material rediscovered in 2004, and a host of other two-dimensional materials are gaining ground in manufacturing semiconductors as silicon’s usefulness begins to fade. Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. Future progress increasingly will require a mix of different materials and disciplines, but silicon will remain a key component.

Interconnect Materials need to to be improved. For decades, aluminum interconnects were the industry standard. In the late 1990s, chipmakers switched to copper. Over the years, transistors have decreased dramatically in size, so interconnects also have had to scale in size leading to roadblock known as the RC challenge. Industry is investing significant effort in developing new approaches to extend copper use and finding new metals. There’s also some investigation into improvements on the dielectric side. The era of all-silicon substrates and copper wires may be coming to an end.

Application markets

Wearables are a question mark. Demand for wearables slowed down in 2017 so much that smart speakers likely outsold wearable devices in 2017 holiday season.  eMarketer is estimating that usage of wearable will grow just 11.9 percent in 2018, rising from 44.7 million adult wearable users in 2017 to 50.1 million in 2018. On the other hand market research firm IDC estimates that the shipments of wearable electronics devices are projected to more than double over the next five years as watches displace fitness trackers as the biggest sellers. IDC forecasts that wearables shipments will increase at a compound annual growth rate of 18.4 percent between 2017 and 2021, rising from 113.2 million this year to 222.3 million in 2021. At the same time fitness trackers are expected to become commodity product. Tomorrow’s wearables will become more fully featured and multi-functional.

The automotive market for semiconductors is shifting into high gear in 2018. Right now the average car has about $350 worth of semiconductor content, but that is projected to grow another 50% by 2023 as the overall automotive market for semiconductors grows from $35 billion to $54 billion. The explosion of drive-by-wire technology, combined with government mandates toward fully electric powertrains, has changed this paradigm—and it impacts more than just the automotive industry. Consider implications beyond the increasingly complex vehicle itself, including new demands on supporting infrastructure. The average car today contains up to 100 million lines of code. Self-driving car will have considerably more code in it. Software controls everything from safety critical systems like brakes and power steering, to basic vehicle controls like doors and windows. Meeting ISO 26262 Software Standards is needed but it will not make the code bug free. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC. The shift to autonomous vehicles marks a major shift in the supply chain—and a major opportunity.

Many applications have need for a long service life — for example those deployed within industrial, scientific and military industries. In these applications, the service life may exceed that of component availability. Replacing an advanced, obsolete components in a design can be very costly, potentially requiring an entire redesign of the electronic hardware and software. The use of programmable devices helps designers not only to address component obsolescence, but also to reduce the cost and complexity of the solution. Programmable logic devices are provided in a range of devices of different types, capabilities and sizes, from FPGAs to System on Chips (SoC) and Complex Programmable Logic Devices (CPLD). The obsolete function can be emulated within the device, whether it is a logic function implemented in programmable logic in a CPLD, FPGA or SoC, or a processor system implemented in an FPGA or SoC.

Become familiar with USB type C connector. USB type C connector is becoming quickly more commonplace than any other earlier interface. In the end of 2016 there were 300 million devices using a USBC connection – a big part was smartphones, but the interface was also widespread on laptops. With growth, the USBC becomes soon the most common PC and peripheral interface. Thunderbolt™ 3 on USBC connector promises to fulfill the promise of USB-C for single-cable docking and so much more.

 

Power electronics

The power electronics market continues to grow and gain more presence across a variety of markets2017 was a good year for electric vehicles and the future of this market looks very promising. In 2017, we saw also how wireless charging technology has been adopted by many consumer electronic devices- including Apple smart phones. Today’s power supplies do more than deliver clean and stable dc power on daily basis—they provide advanced capabilities that can save you time and money.

Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. At the moment, the number of applications for those materials is steadily increasing in the automotive and military industry. Expect to see more adoption of SiC and GaN materials in automotive market.

According to Battery Market Goes Bigger and Better in 2018 article advances in battery technologies hold the keys to continuing progress in portable electronics, robotics, military, and telecommunication applications, as well as distributed power grids. It is difficult to see lithium-ion based batteries being replaced anytime soon, so the advances in battery technology are primarily through the application of lithium-ion battery chemistries. New battery protection for portable electronics cuts manufacturing steps and costs for Lithium-ion.

Transparency Market Research analysts predict that the global lithium-ion battery market is poised to rise from $29.67 billion in 2015 to $77.42 billion in 2024 with a compound annual growth rate of 11.6 %. That growth has already spread from the now ubiquitous consumer electronics segment to automotive, grid energy, and industrial applications. Dramatic increase is expected for battery power for the transportation, consumer electronic, and stationary segments. According to Bloomberg New Energy Finance (BNEF), the global energy-storage market will double six times between 2016 and 2030, rising to a total of 125 G/305 gigawatt-hours. In 2018, energy-storage systems will continue proliferating to provide backup power to the electric grid.

Memory

Memory business boomed in 2017 for both NAND and DRAM. The drivers for DRAM are smartphones and servers. Solid-state drives (SSDs) and smartphones are fueling the demand for NAND.  Both the DRAM and NAND content in smartphones continues to grow, so memory business will do well in 2018.Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAMIn 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017.

NAND Market Expected to Cool in Q1 from the crazy year 2017, but it is still growing well because there is increasing demand. The average NAND content in smartphones has been growing by roughly 50% recently, going from approximately 24 gigabytes in 2016 to approximately 38 gigabytes today.3D NAND will do the heavy memory lifting that smartphone users demand. Contract prices for NAND flash memory chips are expected to decline in during the first quarter of 2018 as a traditional lull in demand following the year-end quarter.

Lots of 3D NAND will go to solid state drives in 2018. IDC forecasts strong growth for the solid-state drive (SSD) industry as it transitions to 3D NAND.  SSD industry revenue is expected to reach $33.6 billion in 2021, growing at a CAGR of 14.8%. Sizes of memory chips increase as number of  layer in 3D NAND are added. We’ve already scaled up to 48 layers. Does this just keep scaling up, or are there physical limits here? Maybe we could see a path to 256 layers in few years.

Memory — particular DRAM — was largely considered a commodity business. Though that it’s really not true in 2017. DRAM memory marked had boomed in 2017 at the highest rate of expansion in 23 years, according to IC Insights. Skyrocketing prices drove the DRAM market to generate a record $72 billion in revenue, and it drove total revenue for the IC market up 22%. Though the outlook for the immediate future appears strong, a downturn in DRAM more than likely looms in the not-too-distant future. It will be seen when there are new players on the market. It is a largely unchallenged assertion that Chinese firms will in the not so distant future become a force in semiconductor memory market. Chinese government is committed to pumping more than $160 billion into the industry over a decade, with much of that ticketed for memory startups.

There is search for faster memory because modern computers, especially data-center servers that skew heavily toward in-memory databases, data-intensive analytics, and increasingly toward machine-learning and deep-neural-network training functions, depend on large amounts of high-speed, high capacity memory to keep the wheels turning. The memory speed has not increased as fast as the capacity. The access bandwidth of DRAM-based computer memory has improved by a factor of 20x over the past two decades. Capacity increased 128x during the same period. For year 2018 DRAM remains a near-universal choice when performance is the priority. There has been some attempts to very fast memory interfaces. Intel the company has introduced the market’s first FPGA chip with integrated high-speed EMBED (Embedded Multi-Die Interconnect Bridge): The Stratix 10 MX interfaces to HMB2 memory (High Memory Bandwidth) that offers about 10 times faster speed than standard DDR-type DIMM.

There is search going on for a viable replacement for DRAM. Whether it’s STT-RAM or phase-change memory or resistive RAM, none of them can match the speed or endurance of DRAM. Necessity is the mother of invention, and we see at least two more generations after 1x. XPoint is also coming up as another viable memory solution that could be inserted into the current memory architecture. It will be interesting to see how that plays out versus DRAM.

5G and IoT

5G something in it for everyone. 5G is big.  5G New Radio (NR) wireless technology will ultimately impact everyone in the electronics and telecommunications industries. Most estimates say 2020 is when we will ultimately see some real 5G deployments on a scale. In the meantime, companies are firming up their plans for whatever 5G products and services they will offer. Though test and measurement solutions will be key in the commercialization cycle. 5G is set to disrupt test processes. If 5G takes off, the technology will propel the development of new chips in both the infrastructure and the handset. Data centers require specialty semiconductors from power management to high-speed optical fiber front-ends. 5G systems will drive more complexity in RF front-ends .5G will offer increased capacity and decreased latency for some critical applications such as vehicle-to-vehicle (V2V) or vehicle-to-infrastructure (V2I) communications for advanced driver assistance systems (ADAS) and self-driving vehicles. The big question is whether 5G will disrupt the landscape or fall short of its promises.

Electronics manufacturers expect a lot from Internet of Thing. The evolution of intelligent electronic sensors is creating a revolution for IoT and Industrial IoT as companies bring new sensor-based, intelligent systems to market. The business promise is that the proliferation of smart and connected “things” in the Industrial Internet of Things (IIoT) provides tremendous opportunities for increased performance and lower costs. Industrial Internet of Things (IIoT) has a market forecast approaching $100 billion by 2020. Turning volumes of factory data into actionable information that has value is essential. Predictive maintenance and asset tracking are two big IoT markets to watch in 2018 because they will provide real efficiencies and improved safety. It will be about instrumenting our existing infrastructures with sensors that improve their reliability and help predict failures. It will be about tracking important assets through their lifecycles.

A new breed of designers has arrived that is leveraging inexpensive sensors to build the intelligent systems at the edge of the Internet of Things (IoT). They work in small teams, collaborate online, and they expect affordable design tools that are easy to use in order to quickly produce results. Their goal is to deliver a functioning device or a proof-of-concept to their stakeholders while spending as little money as possible to get there. We need to become multi-functional engineers who can comfortably work in the digital, RF, and system domains.

The Io edge sensor  device usually needs to be cheap. Simple mathematical reasoning suggests that the average production cost per node must be small, otherwise the economics of the IoT simply are not viable. Most suppliers to the electronics industry are today working under the assumption that the bill-of-materials (BoM) cost of a node cannot exceed $5 on average. While the sensor market continues to garner billions of dollars, the average selling price of a MEMS sensor, for example, is only 60 cents.

Designing a well working and secure IoT system is still hard. IoT platforms are very complex distributed systems and managing these distributed systems is often an overlooked challenge. When designing for the IoT, security needs to be addressed from the Cloud down to each and every edge device. Protecting data is both a hardware and a software requirement, as more data is being stored and analyzed in edge devices and gateways.

The continued evolution of powerful embedded processors is enabling more functionality to be consolidated into single heterogeneous multicore devices. You will see more mixed criticality designs – those designs which contain both safety-critical and non-safety critical processes running on the same chip. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC.

AI

There is clearly a lot of hype surrounding machine learning (ML) and artificial intelligence (AI) fields. Over the past few years, machine learning (ML) has evolved from an interesting new approach that allows computers to beat champions at chess and Go, into one that is touted as a panacea for almost everything. Machine learning already has delivered beneficial results in certain niches, but it has potential for a bigger and longer lasting impact because of the demand for broad insights and efficiencies across industries. Also EDA companies have been investing in this technology and some results are expected to be announced.

The Battle of AI Processors Begins in 2018. Machine learning applications have a voracious appetite for compute cycles, consuming as much compute power as they can possibly scrounge up. As a result, they are invariably run on parallel hardware – often parallel heterogeneous hardware—which creates development challenges of its own. 2018 will be the start of what could be a longstanding battle between chipmakers to determine who creates the hardware that artificial intelligence lives on. Main contenders on the field at the moment are CPUs, GPUs, TPUs (tensor processing units), and FPGAs. Analysts at both Research and Markets and TechNavio have predicted the global AI chip market to grow at a compound annual growth rate of about 54% between 2017 and 2021.

 

Sources:

Battery Market Goes Bigger and Better in 2018

Foundry Challenges in 2018

Smart speakers to outsell wearables during U.S. holidays, as demand for wearables slows

Wearables Shipments Expected to Double by 2021

The Week In Review: Manufacturing #186

Making 5G Happen

Five technology trends for 2018

NI Trend Watch 2018 explores trends driving the future faster

Creating Software Separation for Mixed Criticality Systems

Isolating Safety and Security Features on the Xilinx UltraScale+ MPSoC

Meeting ISO 26262 Software Standards

DRAM Growth Projected to be Highest Since ’94

NAND Market Expected to Cool in Q1

Memory Market Forecast 2018 … with Jim Handy

Pushing DRAM’s Limits

3D NAND Storage Fuels New Age of Smartphone Apps

$55.9 Billion Semiconductor Equipment Forecast – New Record with Korea at Top

Advanced Packaging Is Suddenly Very Cool

Fan-Outs vs. TSVs

Shortages Hit Packaging Biz

Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018

Rapid SoC Proof-of-Concept for Zero Cost

EDA Challenges Machine Learning

What Can You Expect from the New Generation of Power Supplies?

Optimizing Machine Learning Applications for Parallel Hardware

FPGA-dataa 10 kertaa nopeammin

The 200mm Equipment Scramble

Chipmakers Look To New Materials

The Trouble With Models

What the Experts Think: Delivering the next 5 years of semiconductor technology

Programmable Logic Holds the Key to Addressing Device Obsolescence

The Battle of AI Processors Begins in 2018

For China’s Memory Firms, Legal Tests May Loom

Predictions for the New Year in Analog & Power Electronics

Lithium-ion Overcomes Limitations

Will Fab Tool Boom Cycle Last?

The Next 5 Years Of Chip Technology

Chipmakers Look To New Materials

Silicon’s Long Game

Process Window Discovery And Control

Toward Self-Driving Cars

Sensors are Fundamental to New Intelligent Systems

Industrial IoT (IIoT) – Where is Silicon Valley

Internet of things (IoT) design considerations for embedded connected devices

How efficient memory solutions can help designers of IoT nodes meet tight BoM cost targets

What You Need to Become a Multi-Functional Engineer

IoT Markets to Watch in 2018

USBC yleistyy nopeasti

1,325 Comments

  1. Tomi Engdahl says:

    Has GaN Pushed LDMOS into Irrelevance?
    https://www.mwrf.com/semiconductors/has-gan-pushed-ldmos-irrelevance?Issue=MWRF-001_20190110_MWRF-001_665&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=22581&utm_medium=email&elq2=4c701436154b42dc928a6834b92940b9

    With gallium-nitride technology getting all of the hype, it’s worthwhile to look at where LDMOS now stands.

    Today, no one can question the impact that GaN technology has had on the RF/microwave industry. But with GaN receiving so much attention, where does this leave laterally diffused metal-oxide semiconductor—LDMOS—technology? Has LDMOS been completely pushed aside?

    LDMOS technology has dominated the wireless infrastructure arena, but is that changing? The answer to that question is yes—at least, according to a recent article titled, “Realizing 5G Sub-6-GHz Massive MIMO Using GaN.” The authors, Qorvo’s David Schnaufer and Bror Peterson, point out that “high output power, linearity, and power-consumption requirements are pushing base-station and network original equipment manufacturers (OEMs) to switch from using LDMOS technology for power amplifiers (PAs) to gallium nitride (GaN).”

    The article also states that “GaN performs well at 3.5-GHz frequencies and above, while LDMOS is challenged at these high frequencies.”

    Reply
  2. Tomi Engdahl says:

    Chip Industry In Rapid Transition
    https://semiengineering.com/chip-industry-in-rapid-transition/

    Wally Rhines, Mentor’s CEO Emeritus, points to big shifts caused by AI, customization, uncertainty in the global economy and a changing customer base.

    Reply
  3. Tomi Engdahl says:

    Speedchip FPGA Chiplets
    https://semiengineering.com/speedchip-fpga-chiplets/

    Why integrating an FPGA chiplet and ASIC die in same package offers flexibility and high performance.

    Reply
  4. Tomi Engdahl says:

    Beyond the Trade War: Why Reshoring Makes for Better Business
    https://www.designnews.com/materials-assembly/beyond-trade-war-why-reshoring-makes-better-business/138416031559974?ADTRK=UBM&elq_mid=7033&elq_cid=876648

    The current trade war with China has many companies re-evaluating their outsourcing practices. But even before the tariff hikes, many companies were reshoring their manufacturing because in the long run, it’s better for business.

    There’s a reason the U.S. trade deficit with China exceeded $301 billion in 2018. Cheap labor and fewer regulations mean that “Made in China” tends to cost less than “Made in America.” But the ongoing trade war with China has led many companies to re-evaluate the practice of outsourcing from a cost-efficiency perspective. That’s with good reason, since the U.S. is currently imposing about $250 billion in tariffs on products coming from China.

    Reply
  5. Tomi Engdahl says:

    Cree Agrees to $250 Million Silicon Carbide Supply Deal
    https://www.sourcetoday.com/supply-chain/cree-agrees-250-million-silicon-carbide-supply-deal?NL=ED-003&Issue=ED-003_20190111_ED-003_26&sfvc4enews=42&cl=article_2_b

    Cree announced that it would supply $250 million worth of silicon carbide wafers to STMicroelectronics. The deal underscores the growth in the market for chips based on silicon carbide, gallium nitride and other wide bandgap semiconductors in electric cars, factory equipment and other applications that have to handle high temperatures and high voltages.

    The company has another $100 million silicon carbide manufacturing deal with Infineon Technologies.

    Reply
  6. Tomi Engdahl says:

    The connector is often the EMI problem
    https://www.edn.com/electronics-blogs/the-emc-blog/4461417/The-connector-is-often-the-EMI-problem-?utm_source=newsletter&utm_campaign=link&utm_medium=EDNFunFriday-20190111

    When it comes to reducing EMI problems, most coax cables behave the same. They are all just as good antenna for common currents to radiate and fail an FCC certification type test. It’s not the cable that is the chief source of EMC test failures, it’s usually the connector.

    If there were any net current, or common current on a cable, to return through the stray fringe fields between the entire cable and the floor, back to the chassis, it would radiate. In the most sensitive FCC part 15 test condition—for 88 MHz and below—in a class B test, the largest acceptable far field at 3 m from the product is 100 µV/m. This is an important number to remember.

    This means that if there is a larger field at 3m from the product than 100 µV/m, at 88 MHz, within the 120 kHz bandwidth of the FCC test, the product will fail EMC certification and not be allowed for sale in the US. Other countries have similar certification requirements.

    In a typical 50 Ω coax cable, with a 1V signal, having a 1ns rise time, the signal and return current is about 1 V/50 Ω = 20 mA. Even if the asymmetry is so slight as to generate only 0.1 nH of total inductance around the return path of the connector, the ground bounce voltage generated would be

    If the impedance the common current sees returning through all those fringe field lines is about 200 Ω, this 2 mV of ground bounce voltage will drive I = 2 mV/200 Ω = 10 µA. Is this a lot or a little?

    Remember, it only takes 3 µA of common current to fail an EMC certification test. This ground bounce driven current in the cable shield will cause an EMC failure.

    Reply
  7. Tomi Engdahl says:

    Middlebrook’s and Rosenstark’s loop gain measurements
    https://www.edn.com/electronics-blogs/analog-bytes/4461419/Middlebrook-s-and-Rosenstark-s-loop-gain-measurements-?utm_source=Aspencore&utm_medium=EDN&utm_campaign=social

    Two popular ways of measuring the loop gain T of a negative-feedback circuit are Middlebrook’s double-injection method [1] and Rosenstark’s open-circuit/short-circuit method [2]. Each method lends itself both to computer simulation and to testing at the bench. The aim of this blog is to dispel possible confusion between the two methods by pointing out similarities, differences, and peculiarities.

    Reply
  8. Tomi Engdahl says:

    More 2.5D/3D, Fan-Out Packages Ahead
    https://semiengineering.com/more-2-5d-3d-fan-out-packages-ahead/

    Progress on 3D-ICs, using HBM with fan-outs, and other new approaches.

    It’s difficult to list all of the new package types on the horizon, but here are some of the major technologies coming down the pike:

    3D-ICs: After years of modest success in developing true 3D packages, the industry is launching a new version of the technology for logic on memory and logic on logic integration. This enables hybrid chip architectures, SRAM cubes and other technologies.
    Chiplets: With chiplets, you have a menu of modular chips, or chiplets, in a library. Then, you assemble chiplets in a package and connect them using a die-to-die interconnect scheme.
    Fan-out on substrate packages: These support high bandwidth memory (HBM), while some will break the sub-µm line/space barrier.

    Each technology is geared toward a different application. Generally, though, the idea behind these and other advanced package types is to integrate multiple die in the same package, which is a form of heterogeneous integration. This, in turn, enables the package to perform a specific and advanced function in a small form factor.

    Reply
  9. Tomi Engdahl says:

    ISS 2019: Semiconductor Industry Faces New Challenges and Opportunities
    https://www.3dincites.com/2019/01/iss-2019-semiconductor-industry-faces-new-challenges-and-opportunities/

    The theme of ISS 2019 was: “The Golden Age of Semiconductors: Enabling the Next Industrial Revolution.” While several presenters questioned if the first half of 2019, with rapidly declining memory prices and geopolitical uncertainties, will qualify as “golden”, every speaker agreed that artificial intelligence (AI), 5G, the internet of things (IoT) advanced driver assist systems (ADAS), augmented and virtual reality (AR/VR) and other new applications will give the semiconductor industry plenty of growth opportunities – if we accept the emerging challenges.

    Reply
  10. Tomi Engdahl says:

    More 2.5D/3D, Fan-Out Packages Ahead
    Progress on 3D-ICs, using HBM with fan-outs, and other new approaches.
    https://semiengineering.com/more-2-5d-3d-fan-out-packages-ahead/

    Reply
  11. Tomi Engdahl says:

    15 Examples of the Past, Present, and Future of Battery Technology
    Batteries are powering our world. It’s time to learn where they came from and where they are going.
    https://www.designnews.com/electronics-test/15-examples-past-present-and-future-battery-technology?ADTRK=UBM&elq_mid=7109&elq_cid=876648

    Reply
  12. Tomi Engdahl says:

    TSMC profits rise 0.7% on year in 4Q18
    https://www.digitimes.com/news/a20190117VL201.html

    TSMC has reported its fourth-quarter 2018 net income increased 0.7% on year and 12.3% sequentially to NT$99.98 billion (US$3.24 billion) on revenues of NT$289.77 billion for the period, which rose 4.4% on year and 11.3% sequentially.

    TSMC saw its 7nm chip shipments account for 23% of its total wafer revenues in the fourth quarter, up from 11% in the prior quarter. Meanwhile, shipments of 10nm and 16/20nm process technologies as a proportion of company wafer revenues came to 6% and 21%, respectively. Advanced technologies, defined as 28nm and more advanced technologies, accounted for 67% of TSMC’s total wafer revenues.

    Reply
  13. Tomi Engdahl says:

    Supercapacitors: Past, Present, and Future
    https://www.powerelectronics.com/alternative-energy/supercapacitors-past-present-and-future?NL=ED-003&Issue=ED-003_20190117_ED-003_659&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=22732&utm_medium=email&elq2=f721cb0dd62743ff8c77aa92ed993158

    Though the idea of supercapacitors has been around since the 19th century, current technologies are finally realizing the advanced energy storage that was always deemed possible.

    Reply
  14. Tomi Engdahl says:

    Employ Design-Flow Integration for Advanced Multichip RF Design
    https://www.mwrf.com/software/employ-design-flow-integration-advanced-multichip-rf-design?Issue=MWRF-001_20190117_MWRF-001_216&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=22714&utm_medium=email&elq2=89e664f2122c4f38b75ce6fcb4cd563a

    A new workflow allows designers to combine multiple technologies that originate from different software tools into a single project.

    Evolving communication standards like LTE-A and 5G are driving future RF architectures and, consequently, creating challenges for RF front-end module design in terms of miniaturization, performance, and support for technologies that boost data throughputs by improving spectral efficiency.

    To meet the ongoing need for higher performance and reduced component size in multimode- and multiband-capable handsets, companies are shifting their module integration strategies from combining similar building blocks in a single package to adopting multifunctional front ends based on diverse technologies. These development efforts target products based on a single, fully integrated RF module for each frequency range, including multimode/multiband power amplifiers (PAs), duplexers, RF switches, and RF matching.

    Module and subsystem designers often use more than one technology in a complete design. These technologies include gallium-arsenide (GaAs) and gallium-nitride (GaN) monolithic microwave integrated circuits (MMICs), silicon (Si) RF integrated circuits (RFICs), and multiple-layer laminates.

    Reply
  15. Tomi Engdahl says:

    How the New Quantum ‘MESO’ Architecture Could Replace CMOS
    https://www.designnews.com/electronics-test/how-new-quantum-meso-architecture-could-replace-cmos/52879693360067?ADTRK=UBM&elq_mid=7146&elq_cid=876648

    Researchers at Intel and UC Berkeley are working on a new transistor technology based on magnetoelectric and spin-orbit materials that offers several advantages over CMOS.

    Reply
  16. Tomi Engdahl says:

    Power Issues Rising For New Applications
    https://semiengineering.com/power-issues-rising-for-new-applications/

    Why managing power is becoming more difficult, more critical, and much more expensive.

    Managing power in chips is becoming more difficult across a wide range of applications and process nodes, forcing chipmakers and systems companies to rethink their power strategies and address problems much earlier than in the past.

    While power has long been a major focus in the mobile space, power-related issues now are spreading well beyond phones and laptop computers.

    “CPU Utilization, power management and device reliability must be tightly and accurately thermally managed on die,”

    Reply
  17. Tomi Engdahl says:

    DRAM Prices Forecast to Crash in Q1
    https://www.eetimes.com/document.asp?doc_id=1334222

    The price decline — steeper than the 15% that the firm originally forecast — is expected to be lead by server DRAM chips.

    Reply
  18. Tomi Engdahl says:

    U.S. Lawmakers Propose Ban on Chip Sales to Huawei, ZTE
    https://www.eetimes.com/document.asp?doc_id=1334216

    A bipartisan group of U.S. lawmakers has introduced legislation which would ban the export of U.S. chips and other components to Chinese telecommunications companies Huawei and ZTE for violating U.S. export control laws.

    The Telecommunications Denial Order Enforcement Act

    Reply
  19. Tomi Engdahl says:

    Jordan Novet / CNBC:
    Intel Q4: revenue of $18.7B, up 9% YoY, vs. $19.01B est., Client Computing Group revenue of $9.82B, up 10% YoY, vs. $10.01B est.; stock down 7%+ after hours

    Intel misses on revenue and gives weak guidance — stock falls after hours
    https://www.cnbc.com/2019/01/24/intel-earnings-q4-2018.html

    Intel’s fourth-quarter revenue was light, as was its revenue forecast for 2019.
    Intel has been on the hunt for a permanent CEO for more than six months.
    The company announced new desktop PC chips in the quarter.

    Reply
  20. Tomi Engdahl says:

    China, Chips and 2019 Still Unclear
    IC Insights shares forecasts at a dark moment
    https://www.eetimes.com/document.asp?doc_id=1334240

    Amid big uncertainties over China and European trade and the bottom of the memory cycle, veteran analyst Bill McLean predicts the semiconductor industry will slow down over the next two years before picking up steam again. Long term, don’t worry about China, he advised in his annual Silicon Valley talk.

    Specifically, the president of IC Insights forecasts 1.6% revenue growth this year and a 0.9% contraction next year, followed by three years of rising growth in the 7-13% range. His views are in the ballpark of analysts from Gartner and VLSI Research who expressed hopes earlier this month the industry’s current slowdown will avoid a recession.

    The end of a two-year super-cycle in memory will drag average selling prices on chips down 6% overall this year, IC Insights predicts. Hopefully, issues around both the U.S./China trade dispute and the U.K. Brexit will settle down in the spring, he said.

    Reply
  21. Tomi Engdahl says:

    Weak China Demand Stings Intel
    https://www.eetimes.com/document.asp?doc_id=1334241

    Intel became the latest chipmaker stung by slowing demand in China, as the biggest U.S. semiconductor firm offered a fourth quarter report and first quarter forecast that both fell short of Wall Street’s expectations.

    Reply
  22. Tomi Engdahl says:

    WeEnBridge – an efficient bipolar rectifier bridge alternative
    https://www.eetimes.com/document.asp?doc_id=1334123

    Conventional mains rectifier bridges are widely used in the AC to DC conversion section of many (switch-mode) power supply units. The diode bridge – also known as Graetz bridge – normally operates at a voltage between 90 and 240 V(RMS), while the AC frequency is 50 or 60 Hz. Commonly standard (low speed) silicon bipolar diodes are used in a traditional rectifier bridge.

    Active Mains Rectifier Bridge
    The power loss in a mains rectifier bridge can be reduced by using alternative rectifying elements instead of common bipolar diodes. If, for example, the diodes would be replaced by MOSFETs, the voltage drop per rectifying element can be much lower than the typical 0.8V that would apply to a silicon bipolar diode.

    One disadvantage of a MOSFET rectifier bridge is that a driver is needed to switch the MOSFETs on and off in the ‘rhythm’ of the AC. The driver may be somewhat complex, but the cost is usually reasonable. A more important disadvantage is the fact that the MOSFETs, being unipolar devices, must be very large to achieve a voltage drop per rectifying element that is well be the aforementioned 0.8V. For low voltage rectification, MOSFET rectification is economically feasible, but for relatively high voltage AC mains systems the MOSFETs will be very expensive because of the large amount of silicon area that is needed.

    The latter disadvantage can be overcome if bipolar switching devices are used as the switching elements instead of unipolar MOSFETs. Such a bipolar switching element is the well-known Bipolar Junction Transistor (BJT).

    Reply
  23. Tomi Engdahl says:

    China, Chips, and 2019 Still Unclear
    IC Insights shares forecasts at a dark moment
    https://www.eetimes.com/document.asp?doc_id=1334240

    Amid big uncertainties over China and European trade and the bottom of the memory cycle, veteran analyst Bill McLean predicts that the semiconductor industry will slow down over the next two years before picking up steam again. Long term, don’t worry about China, he advised in his annual Silicon Valley talk.

    Specifically, the president of IC Insights forecasts 1.6% revenue growth this year and a 0.9% contraction next year, followed by three years of rising growth in the 7% to 13% range. His views are in the ballpark of analysts from Gartner and VLSI Research, who expressed hopes earlier this month that the industry’s current slowdown will avoid a recession.

    Reply
  24. Tomi Engdahl says:

    What happens around March deadlines for both Brexit and raising U.S. China tariffs to 25% “will tell a lot about this year,” McLean said, noting that both China and the U.S. have “plenty of incentives” to settle their trade war.

    From: https://www.eetimes.com/document.asp?doc_id=1334240

    Reply
  25. Tomi Engdahl says:

    Texas Instruments Slumps for the First Time in a Long Time
    https://www.electronicdesign.com/analog/texas-instruments-slumps-first-time-long-time?NL=ED-003&Issue=ED-003_20190125_ED-003_550&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=22905&utm_medium=email&elq2=60c471f5282d48caa9f82339b60e0408

    With the broadest range of products and longest list of customers in the semiconductor industry, Texas Instruments acts as a thermometer for the overall health of the sector. So when the company reported dwindling demand in its industrial, consumer and automotive markets in October, Wall Street sounded an alarm bell. The only question was how severe the slowdown would be.

    On Wednesday, the largest vendor of analog chips returned with a response: things could be worse. Texas Instruments forecast first quarter revenue in the range of $3.34 billion to $3.62 billion, slightly off analyst estimates of $3.43 billion to $3.68 billion. Profits in the current quarter are projected to be around $1.11 per share. Last year, it reported $3.79 billion in first quarter sales, while profits were $1.35 per share.

    “After 10 quarters of year-on-year growth, the weakness we are seeing is primarily due to the semiconductor cycle,”

    Reply
  26. Tomi Engdahl says:

    As Semiconductor Sales Grow, So Do The Biggest Vendors
    https://www.electronicdesign.com/embedded-revolution/semiconductor-sales-grow-so-do-biggest-vendors

    Semiconductors are booming. Global annual sales have surged from 1/3 of a trillion dollars in 2014 to nearly 1/2 trillion dollars in 2018. But the largest players in the industry are taking bigger and bigger bites out of the total market, which rose 13.4 percent to $476.7 billion last year, according to market researcher Gartner. To cope with the rising chip development costs, many companies are turning to consolidation.

    Reply
  27. Tomi Engdahl says:

    Impulse-Controlled Sequential Switch Without Active Electronics
    https://www.electronicdesign.com/analog/impulse-controlled-sequential-switch-without-active-electronics?NL=ED-003&Issue=ED-003_20190123_ED-003_499&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=22838&utm_medium=email&elq2=3821ce56c49f4430a3000ffd44680178

    This circuit uses two electromechanical relays to realize a sequential switch that’s permanently resistant to electrical disturbance, remembering its state despite power failure and consuming energy only when it’s switched from one state to the other.

    Reply
  28. Tomi Engdahl says:

    China’s Foundry Biz Takes Big Leap Forward
    https://semiengineering.com/chinas-foundry-biz-takes-big-leap/

    30 facilities planned, including 10/7nm processes, but trade war and economic factors could slow progress.

    Reply
  29. Tomi Engdahl says:

    Silicon-Based Electro-Optical Gyroscope Overcomes SNR Deficiencies
    https://www.electronicdesign.com/analog/silicon-based-electro-optical-gyroscope-overcomes-snr-deficiencies?NL=ED-003&Issue=ED-003_20190118_ED-003_706&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=22761&utm_medium=email&elq2=38bb5ff71fd64deab40d0bd2e76d4a9f

    This 2-mm-square silicon-based optical gyroscope devised at Caltech overcomes the major source of error in these tiny units thanks to the use of a self-cancellation technique.

    Reply
  30. Tomi Engdahl says:

    Foxconn pulls back on its $10 billion factory commitment
    https://techcrunch.com/2019/01/30/foxconn-pulls-back-on-its-10-billion-dollar-factory-commitment/?sr_share=facebook&utm_source=tcfbpage

    Plus India wants to block foreign firms, and Korea is deciding what to do about Chinese influence in video games

    Well that didn’t last long.

    In 2017, Foxconn announced the largest investment of a foreign company in the United States when it selected Mount Pleasant, Wisconsin for a new manufacturing facility.

    Now, those dreams are coming apart faster than you can say “Made in America.”

    Reply
  31. Tomi Engdahl says:

    The next generation of wall chargers is getting smaller and better
    https://www.theverge.com/circuitbreaker/2019/1/30/18203879/gan-chargers-anker-powerport-atom-pd-1-ravpower-45w

    Anker’s PowerPort Atom PD 1 and RavPower’s 45W GaN charger are an early preview of the charging tech of the future

    The tech world is probably sitting on the edge of a charger revolution, and most of us just haven’t realized it yet. No, I’m not talking about USB-C (sadly); I’m talking about GaN (gallium nitride) chargers, a material that’s started to replace silicon in chargers. I’ve had the chance to try out two of the first GaN chargers — RavPower’s 45W slimline design model and Anker’s PowerPort Atom PD 1 — and it’s not just marketing hype: the new chargers really do make a huge leap forward for shrinking down power bricks in a way that’s really exciting to see.

    The 30W Anker just flat out seems too small to drive anything bigger than a phone, and the 45W RavPower option, while a bit larger, also pales in comparison to a similarly specced silicon-based charger.

    Reply
  32. Tomi Engdahl says:

    Europe’s HPC Community Sets Vision for Embedded Systems
    https://www.eetimes.com/document.asp?doc_id=1334260

    The European Network on High Performance and Embedded Architecture and Compilation (HiPEAC) presented a strategy for Europe to remain competitive in addressing next generation embedded systems as part of its Vision 2019 report at its 14th conference on the future of computing last week in Valencia, Spain.

    With most European semiconductor manufacturers not going into sub-10nm technology, Europe has an opportunity to exploit more mature technology nodes while continuing to research post-CMOS technologies and alternative, non-von Neumann architectures to address higher performance and efficiency as computing becomes ever more pervasive in all aspects of society, especially with the growth of machine learning and artificial intelligence, according to the report.

    The HiPEAC Vision 2019 report says the end of computing as we know it represents an opportunity for Europe to steer the development of future systems that would respect the planet and humanity.

    https://www.hipeac.net/vision/

    Reply
  33. Tomi Engdahl says:

    NSI and Etra Jointly Announce First Wafer-Level Heterogeneous System Integration of GaAs on Silicon RF FEM
    https://www.eetimes.com/document.asp?doc_id=1334246

    Today, Ningbo Semiconductor International Corporation (NSI), a specialty semiconductor foundry in Ningbo, China, and Etra Semiconductor (Suzhou) Co., Ltd (Etra), an RF front-end devices and system solution provider in Suzhou, China, jointly announce an industry’s first RF FEM(Front-End Module) of heterogeneous integrated GaAs plus SOI circuits on Silicon using NSI’s proprietary micro wafer-level system integration technology (uWLSI®).

    Reply
  34. Tomi Engdahl says:

    VIEWPOINT 2019: Hong Lin, PhD, Senior Technology and Market Analyst, Compound Semiconductors, Yole Développement
    http://www.semiconductorpackagingnews.com/articles/66261.html

    Silicon carbide (SiC), Gallium Arsenide (GaAs), Gallium Nitride (GaN), Indium Phosphide (InP)… The compound semiconductor industry enjoys a bright outlook, with double digit growth potential in different market segments.

    If I need to highlight one compound for the year 2018, it would be SiC. The rumors of Tesla using SiC in its inverter was verified by reverse engineering analysis

    The automotive sector will no doubt be THE driving market and it is expected to absorb the global capacity

    GaAs is the material that to my mind is in second place. GaAs experienced a roller coaster ride in 2018: from the hype at the beginning of the year with the adoption by Apple of GaAs based VCSELs in its iPhone X for 3D sensing applications in late 2017, to the reduction of the business outlook of Lumentum and IQE at the end of the year due to the reduction of shipments to Apple.

    Fortunately, GaAs is not limited to Apple. 5G PA is expected to provide a stable market for GaAs, while Android platforms are exploring the 3D sensing market and Lidar will gradually consume increasing amounts of GaAs wafer, as indicated in the report on our latest analysis, GaAs Wafer and Epiwafer Market: RF, Photonics, LED and PV Applications.

    Then there is GaN – GaN the material that has inspired so much expectation. GaN on SiC, GaN on Si, GaN on GaN or even GaN on diamond, different technology platforms are heading for different applications: 5G infrastructure, fast charger, wireless charging… (cite GaN power report).

    Reply

Leave a Comment

Your email address will not be published. Required fields are marked *

*

*