Tech trends 2022

The year 2021 was strange, you can read more of it from A 2021 technology retrospective: Strange days indeed. But how strange will 2022 be? Here are some predictions for year 2022:

2022 preview: Will the global computer chip shortage ever end?
The growing demand for computer chips, used in everything from cars to fridges, has collided with the effects of the coronavirus pandemic, leading to a global shortage that is likely to continue through 2022
Read more: https://www.newscientist.com/article/2022-2022-preview-will-the-global-computer-chip-shortage-ever-end/#ixzz7GqrP1H9A

Industry Transforming In Ways Previously Unimaginable
https://semiengineering.com/industry-transforming-in-ways-previously-unimaginable/?cmid=3dedf05d-0284-497a-b015-daf7747872e6

As we look back over 2021, there have certainly been some surprises, but the industry continues to take everything in its stride.

2022 tech themes: A look ahead
https://www.edn.com/2022-tech-themes-a-look-ahead/

The continued COVID-19 question mark: The world quickly and dramatically changed. It hasn’t yet reverted to pre-pandemic characteristics, and it very likely never will. Sad but true, the pandemic isn’t even close to being over yet.
Deep learning’s Cambrian moment: Look at today’s participant-rich deep learning silicon and software market, spanning both training and inference.
The ongoing importance of architecture: As the number of transistors that it’s possible to cost-effectively squeeze onto a sliver of silicon continues to slow, what you build out of those transistors becomes increasingly critical.
Open source processors’ time in the sun: There is a burgeoning RISC-V movement. It’s likely a little-known fact to some of you, that a public domain instruction set for v2 and earlier versions of the Arm ISA exists. And both Sun (with OpenSPARC) and IBM (OpenPOWER) have also joined the open-source silicon movement.
The normalization of remote work (and the “Great Resignation’s” aftershocks): I suspect that, to at least a notable degree, we won’t ever completely return to the “way it was before.” In fact, I’d wager that having a taste of a work-from-home or “hybrid” employment lifestyle is one of the key factors behind the so-called “Great Resignation” that tech and broader media alike inform me is well underway.
The metaverse starts to stir: Perhaps we’ll look back at 2022 as the year when the crossing of the chasm started in earnest.
Autonomy slowly accelerates: 2021 was another year filled with fully autonomous car tests and premature “coming soon” pronouncements; 2022 will likely be the same.
Batteries get ever denser, ever more plentiful, and ever cheaper
Space travel becomes commonplace

Global semiconductor industry forecasts for 2022
https://www.digitimes.com/news/a20211229VL205.html

“2021 is the year that everyone remembered that chip mattered,” said Wired Magazine. So far 2022 seems likely to be another fruitful year for the semiconductor industry.

World Semiconductor Trade Statistics (WSTS) also has predicted that the global semiconductor market is projected to grow by 8.8 percent in 2022, to US$ 601 billion, driven by double-digit growth of the sensors and logic category. All regions and all product categories are expected to continue positive growth. Wafer foundry manufacturers sales likely to remain strong due to tight supply. 5G smartphone silicon content increase to drive demand for foundry service higher. Demand for digital transformation is here to stay, no sign of weakening for foundry service sales.

The COVID-19 pandemic accelerated digital transformation over the past two years. Work from home, virtual conference, and remote learning have driven up the demand for cloud computing, laptops, and servers, and hence the sales growth of related semiconductor products. Demands for CPU, GPU, AI accelerator (including FPGA) foundry services will remain strong in 2022 because trends such as virtual conferences, live streaming, and large capex of data centers are likely to stay. Long-term demands for customized chips in IoT, 5G infrastructure, HPC, and EV applications, like ADAS, autonomous driving, V2X, in-Vehicle Infotainment, will provide robust growth momentum for chip foundry services.

Chip crunch is not ending in 2022, as the lead time of some electronic components is stretching into 2023. Meanwhile, the increasing adoption of RISC-V open standard instruction set architecture is an important trend that can not be ignored. RISC-V market will double its size in 2022, compared to 2021, as it is attracting small and medium-size chip designers and manufacturers, especially those in China. RISC-V designs are now being used by Qualcomm, Samsung, Google, Microchip, Nvidia, and more.

Taiwan’s chip industry emerges as a battlefront in US-China showdown
https://www.taipeitimes.com/News/editorials/archives/2022/01/01/2003770517

The country dominates production of chips used in almost all civilian and military technologies. That leaves the US and Chinese economies reliant on plants that would be in the line of fire in an attack on Taiwan. The vulnerability is stoking alarm in Washington

40 prosenttia pienempiä latureita
https://etn.fi/index.php?option=com_content&view=article&id=12981&via=n&datum=2021-12-20_14:53:12&mottagare=30929

The size of a standard mobile phone charger can be reduced by up to 40 percent when using GaN components or it can be designed to produce more power in the same size. GaN chargers are becoming the most popular charger technology for billions of devices, so it’s no wonder that European semiconductor giant STMicroelectronics is also excited about them.

1,320 Comments

  1. Tomi Engdahl says:

    If publishers want to delist old games, that’s fine – so long as they accept Abandonware status
    Publishers should avoid being litigious towards those who continue to distribute the games that they delist.
    https://www.vg247.com/abandonware-sonic-assassins-creed-delisting-old-games

    Reply
  2. Tomi Engdahl says:

    CXL Memory Pooling will Save Millions in DRAM Cost
    by AleksandarK Today, 13:34 Discuss (5 Comments)
    https://www.techpowerup.com/296786/cxl-memory-pooling-will-save-millions-in-dram-cost

    Hyperscalers such as Microsoft, Google, Amazon, etc., all run their cloud divisions with a specific goal. To provide their hardware to someone else in a form called instance and have the user pay for it by the hour. However, instances are usually bound by a specific CPU and memory configuration, which you can not configure yourself. But instead, you can only choose from the few available options that are listed. For example, when selecting one virtual CPU core, you get two GB of RAM and can go as high as you want with CPU cores. However, the available RAM will also double, even though you might not need it. When renting an instance, the allocated CPU cores and memory are yours until the instance is turned off.

    And it is precisely this that hyperscalers are dealing with. Many instances don’t fully utilize their DRAM, making the whole data center usage inefficient. Microsoft Azure, one of the largest cloud providers, measured that 50% of all VMs never touch 50% of their rented memory. This makes memory stranded in a rented VM, making it unusable for anything else.

    To achieve better results, we have to turn to mainframe designs and copy their behavior. The memory pooling concept is designed to allow the CPU to access as much memory as it needs without occupying and stranding DRAM in VMs that don’t need it. Backing this up is the new CXL protocol for cache coherency, which every major hardware provider is including in their offering. Having a data center with CXL hardware allows companies like Microsoft to reduce costs. As the company notes, “[memory] disaggregation can achieve a 9 – 10% reduction in overall DRAM, which represents hundreds of millions of dollars in cost savings for a large cloud provider.”

    Reply
  3. Tomi Engdahl says:

    Google’s cloud unit taps Arm-based chips, adding to Intel, AMD pressure
    https://finance.yahoo.com/news/googles-cloud-unit-taps-arm-000307588.html

    Reply
  4. Tomi Engdahl says:

    The fastest GDDR6 memory ever is coming to next gen graphics cards
    By Chris Szewczyk published 4 days ago
    That’s GDDR6, not GDDR6X.
    https://www.pcgamer.com/the-fastest-gddr6-memory-ever-is-coming-to-next-gen-graphics-cards/

    Reply
  5. Tomi Engdahl says:

    Microsoft Pushing Manufacturers to Drop HDDs as Boot Devices
    https://datarecovery.com/rd/microsoft-pushing-manufacturers-to-drop-hdds-as-boot-devices/

    Microsoft is pushing original equipment manufacturers (OEMs) to prioritize solid state drives (SSDs) as boot devices, according to an executive brief published by data storage analyst TrendFocus.

    Microsoft’s flagship OS, Windows 11, does not specifically require a solid-state drive as part of its operating requirements. However, Trendfocus reports that the software giant has ordered its OEMs to ditch hard drives in favor of SSDs, which would help to establish more consistent performance across different hardware running Windows 11.

    In statements to Tom’s Hardware, Trendfocus Vice President John Chen noted that the new requirement could create budgetary issues from an OEM standpoint. A 512GB SSD retails for about $30-65 USD, while a 512GB hard drive retails for under $20.

    Reply
  6. Tomi Engdahl says:

    Engineers on the brink of extinction threaten entire tech ecosystems
    Resting on its laurels is costing the industry its hardies
    https://www.theregister.com/2022/07/18/electrical_engineers_extinction/

    OPINION Intel has produced some unbelievable graphs in its time: projected Itanium market share, next node power consumption, multicore performance boosts.

    The graph the company showed at the latest VLSI Symposium, however, was a real shocker.

    While computer science course take-up had gone up by over 90 percent in the past 50 years, electrical engineering (EE) had declined by the same amount. The electronics graduate has become rarer than an Intel-based smartphone.

    That part of the technology industry which makes actual things has always been divided between hardies and softies, soldering iron versus compiler, oscilloscope versus debugger. But the balance is lost. Something is very wrong at the heart of our technology creation supply chain. Where have all the hardies gone?

    Engineering degree courses are a lot of work across a lot of disciplines, with electronic engineering being particularly diverse. The theoretical side covers signal, information, semiconductor devices, optical and electromagnetic theory, so your math better be good. There’s any amount of building-block knowledge needed, analogue and digital, across the spectrum from millimetric RF to high-energy power engineering. And then you have to know how to apply it all to real-world problems.

    This isn’t the sort of course you opt to do because you can’t think of anything better. You have to want to do it, you have to think you can do it, and do it well enough to make it your career. For that, you need prior exposure.

    Reply
  7. Tomi Engdahl says:

    China-Made 128-Core Arm Chip Takes Overall Performance Lead in Integer Workloads
    By Anton Shilov published 12 days ago
    15% faster than AMD’s EPYC 7773X.
    https://www.tomshardware.com/news/china-designed-128-core-cpu-takes-overall-integer-performance-lead

    Reply
  8. Tomi Engdahl says:

    Apple politely explains why iPhone cases are a waste of money
    You use one because you use one, right? You don’t trust yourself. Perhaps now is the time to break out, suggests Apple.
    https://www.zdnet.com/article/apple-politely-explains-why-iphone-cases-are-a-waste-of-money/?ftag=COS-05-10aaa0h&utm_campaign=trueAnthem%3A%20Trending%20Content&utm_medium=trueAnthem&utm_source=facebook

    Reply
  9. Tomi Engdahl says:

    5 Biggest Cloud Computing Trends To Watch Out For In 2022
    Read More:- https://buff.ly/3opSfF7
    #cloudcomputingtrends #cloudcomputing #cloudservices #TheTechTrend

    Reply
  10. Tomi Engdahl says:

    Nokia mukaan satelliittiprojektiin viisivuotisella sopimuksella – Tavoitteena tarjota mobiiliverkkoja avaruudesta kaikkialle maailmaan
    https://www.kauppalehti.fi/uutiset/nokia-mukaan-satelliittiprojektiin-viisivuotisella-sopimuksella-tavoitteena-tarjota-mobiiliverkkoja-avaruudesta-kaikkialle-maailmaan/441ef775-b8f8-4983-95f3-879621585eb2?ref=facebook%3Aec4d

    Verkkolaiteyhtiö Nokia toimittaa teknologiaa AST SpaceMobilelle, joka pyrkii tulevaisuudessa tarjoamaan verkkoyhteyksiä kaikkialle maailmaan maata kiertävistä satelliiteista.

    Verkkolaiteyhtiö Nokia kertoo tehneensä viisi vuotta kattavan sopimuksen yhdysvaltalaisen AST SpaceMobilen kanssa. Sopimuksen arvo ei tiedotteesta ilmene.

    AST SpaceMobile suunnittelee tarjoavansa 4g ja 5g -yhteyksiä suoraan matkapuhelimiin maata matalalla kiertävistä satelliiteista. Nokian on määrä toimittaa yhtiölle tähän tarvittavaa teknologiaa.

    Tiedotteen mukaan AST SpaceMobile laukaisee syyskuussa kiertoradalle BlueWalker 3 -nimisen koesatelliitin, jolla verkkoyhteyksiä on määrä testata kuudella mantereella hyödyntäen maan pinnalla olevaa Nokian verkkoinfrastruktuuria.

    ”Nokian AirScale-järjestelmän avulla AST SpaceMobile ja Nokia ottavat tärkeän askeleen kohti verkkoyhteyksien ulottamista maailman viimeisillekin katvealueille”, sanoo AST SpaceMobilen strategiajohtaja Scott Wisniewski Nokian tiedotteessa.

    Reply
  11. Tomi Engdahl says:

    Android users are switching up to iPhones in record numbers
    Android users deserting the platform helped drive another record June quarter for Apple.
    https://www.zdnet.com/article/android-users-are-switching-up-to-iphones-in-record-numbers/

    Reply
  12. Tomi Engdahl says:

    Google, SkyWater, Efabless Announce a New Open-Silicon PDK on a 90nm Process Node
    Building on the success of the open source SKY130 Production Development Kit (PDF), the SKY90-FD brings the program to a new tech level.
    https://www.hackster.io/news/google-skywater-efabless-announce-a-new-open-silicon-pdk-on-a-90nm-process-node-c3e644a9529d

    Reply
  13. Tomi Engdahl says:

    Paper Battery Could Power Disposable Electronics
    Researchers developed a new disposable paper battery that activates when saturated with water
    https://www.hackster.io/news/paper-battery-could-power-disposable-electronics-ae6327c9b8e2

    Reply
  14. Tomi Engdahl says:

    Wearable Ultrasound Produces Images So Clear You Can See Your Own Internal Organs
    They’re the size of a postage stamp, but they produce images in striking detail.
    https://www.iflscience.com/-64681

    Reply
  15. Tomi Engdahl says:

    The CHIPS Act won’t solve the chip shortage
    The US is betting billions to spur semiconductor manufacturing.
    https://www.vox.com/recode/2022/7/27/23277664/chips-act-solve-chip-shortage-biden-manufacturing?utm_source=facebook&utm_content=voxdotcom&utm_campaign=vox.social&utm_medium=social

    In a 64-33 vote on Wednesday, the Senate finally passed the CHIPS Act, a $52 billion package that aims to boost semiconductor manufacturing in the United States. The House is likely to approve the funding by the end of the week, and President Joe Biden is expected to sign the legislation soon afterward. But while its biggest champions have connected the CHIPS Act to the ongoing chip shortage, the legislation won’t really help, at least in the short term.

    “Semiconductor chips are the building blocks of the modern economy — they power our smartphones and cars,” said President Biden in a tweet before the legislation was formally approved by the Senate. “And for years, manufacturing was sent overseas. For the sake of American jobs and our economy, we must make these at home.”

    The bulk of the CHIPS Act is a $39 billion fund that will subsidize companies that expand or build new semiconductor manufacturing facilities in the US.

    On its face, the idea of increasing semiconductor manufacturing in the US seems like it would help address the global supply crunch for computer chips, which has made it harder to buy everything from cars and laptops to sex toys and medical devices during the pandemic. Senate Majority Leader Chuck Schumer (D-NY) has even suggested that the funding package could help fight inflation, presumably by making these goods cheaper.

    But while it’s certainly fair to call the legislation a victory for bipartisanship, this plan is primarily focused on keeping up with China’s growing investment in its own domestic chip industry — not solving the present issues with the tech supply chain.

    The chip factories produced by this package won’t be complete for years, and the bulk of the funding won’t necessarily go toward basic chips, also known as legacy chips, which account for much of the ongoing shortage. And that shortage may be nearing its end anyway.

    The CHIPS+ Act is about America
    America’s supply of advanced chips, which are sometimes defined as chips with transistors that are less than 10 nanometers wide, is the primary motivation for passing the CHIPS+ Act. These chips are extremely difficult to manufacture, and they’re also critical for certain types of technology, including weapons that the US military depends on. Right now, almost all of these chips are made in Taiwan, and none are made in the US.

    Reply
  16. Tomi Engdahl says:

    As CHIPS+ Act Moves Ahead, Chipmakers Follow Suit With New Fabs
    3 days ago by Biljana Ognenova
    https://www.allaboutcircuits.com/news/as-chips-act-moves-ahead-chipmakers-follow-suit-with-new-fabs/

    Reply
  17. Tomi Engdahl says:

    I paid for it, that makes it mine. Doesn’t it? No – and it never did
    A new generation learns the hard way that everything is ephemeral
    https://www.theregister.com/2022/07/29/something_for_the_weekend_/

    Reply
  18. Tomi Engdahl says:

    https://www.howtogeek.com/821515/its-time-to-stop-dual-booting-linux-and-windows/

    Dual-booting is one way to install multiple operating systems on the same computer. Unfortunately, Windows is making the practice more difficult than ever.

    Windows has never worked all that well with dual-booting — depending on the setup, it can sometimes overwrite a custom bootloader with its own when updating, or cause other problems. More recently, the BitLocker disk encryption scheme in Windows has been a headache for dual-booting, since the contents of an encrypted disk aren’t accessible unless they are unlocked first, which requires a backup key or starting up Windows.

    Reply
  19. Tomi Engdahl says:

    China’s semiconductor self-sufficiency drive could face another hurdle as the United States moves ahead to widen the scope of its equipment export ban to China, analysts say.

    Tech war: China’s semiconductor ambitions face reality check amid reports US is set to widen ban on exports of equipment to country
    https://www.scmp.com/tech/tech-war/article/3187331/tech-war-chinas-semiconductor-ambitions-face-reality-check-amid?utm_content=article&utm_medium=Social&utm_source=Facebook#Echobox=1659408348

    Reply
  20. Tomi Engdahl says:

    CHIPS Act could see Intel take lion’s share, with TSMC Arizona plant a lower priority
    https://9to5mac.com/2022/08/01/tsmc-arizona-plant-2/

    Reply
  21. Tomi Engdahl says:

    5 terrible reasons angry nerds say I should switch to Linux
    Just so we’re clear: Linux isn’t the problem here.
    https://www.pcworld.com/article/820164/5-reasons-angry-nerds-switch-linux.html

    As a nerd of average make (nothing special to see here, folks), I’m aware of Linux. I’ve used Linux. I like Linux as a concept. It’s free, open-source, and flexible—an alternative to Windows and macOS that lets people of all backgrounds access modern computing.

    But I could do without the Linux fanatics. You know who I mean. The ones who burst into conversations like the Kool-Aid Man whenever you bring up Windows. You don’t need to ask them how they feel about Linux. It’s absolutely clear. That’s their operating system of choice. It’s the only OS of choice. But you don’t know it, so they’re here to enlighten you.

    But here’s some friendly advice for Linux zealots: Linux sounds way more interesting when someone takes the time to thoughtfully explain what problems it solves and what issues to expect (and how to mitigate them), as well as making distro suggestions tailored to a person’s needs.

    When it’s not framed that way, Windows users will just keep tuning you out.

    Reply
  22. Tomi Engdahl says:

    The Wearable Cyberpunk Future on the Horizon
    To celebrate Wearables Month here at Hackster, we rounded up some of the most interesting wearable research from the past few years.
    https://www.hackster.io/news/the-wearable-cyberpunk-future-on-the-horizon-f39527e6be06

    Reply
  23. Tomi Engdahl says:

    Qualcomm Plans New Chips to Compete with Apple in Wearables
    July 20, 2022
    Built on the 4-nm node, the Snapdragon W5 and W5+ Gen 1 gain a host of power-saving capabilities.
    https://www.electronicdesign.com/technologies/embedded-revolution/article/21246959/electronic-design-qualcomm-plans-new-chips-to-compete-with-apple-in-wearables?utm_source=EG+ED+Connected+Solutions&utm_medium=email&utm_campaign=CPS220726116&o_eid=7211D2691390C9R&rdx.identpull=omeda|7211D2691390C9R&oly_enc_id=7211D2691390C9R

    Qualcomm is stepping up the competitive pressure on Apple with plans to start selling a line of ultra-low-power chips specially designed for the booming smartwatch and wearables market.

    According to the company, the new chips promise up to 2X faster with up to 50% longer battery life for smartwatches using Google’s Wear OS.Dubbed the Snapdragon W5 and W5+ Gen 1, the chips represent a huge generational leap for Qualcomm, which built them on the 4-nm node and moved to a new ultra-low-power architecture that draws less power and is smarter about how it consumes the battery power available to it.

    Reply
  24. Tomi Engdahl says:

    Google Partners With SkyWater to Drive Open-Source Chip Design
    July 30, 2022
    The push to develop an open-source chip design platform is backed by the U.S. Department of Defense.
    https://www.electronicdesign.com/technologies/analog/article/21247688/electronic-design-google-partners-with-skywater-to-drive-opensource-chip-design?utm_source=EG+ED+Analog+%26+Power+Source&utm_medium=email&utm_campaign=CPS220801037&o_eid=7211D2691390C9R&rdx.identpull=omeda|7211D2691390C9R&oly_enc_id=7211D2691390C9R

    Reply
  25. Tomi Engdahl says:

    New Low-Power Wireless Solution Targets IoT Sensors
    Aug. 1, 2022
    Want to cut wireless power consumption by an order of magnitude? SPARK Microsystems’ George Taylor shows how.
    https://www.electronicdesign.com/technologies/communications/video/21247136/electronic-design-new-low-power-wireless-solution-targets-iot-sensors?utm_source=EG+ED+Analog+%26+Power+Source&utm_medium=email&utm_campaign=CPS220801037&o_eid=7211D2691390C9R&rdx.identpull=omeda|7211D2691390C9R&oly_enc_id=7211D2691390C9R

    Reply
  26. Tomi Engdahl says:

    Is It Finally Time for Silicon Photonics to Shine?
    July 13, 2022
    A new silicon-photonics process developed by GlobalFoundries has the backing of Ayar Labs, Broadcom, Cisco, Marvell, and NVIDIA.
    https://www.electronicdesign.com/technologies/embedded-revolution/article/21239005/electronic-design-globalfoundries-its-time-for-silicon-photonics-to-shine?utm_source=EG+ED+Connected+Solutions&utm_medium=email&utm_campaign=CPS220802168&o_eid=7211D2691390C9R&rdx.identpull=omeda|7211D2691390C9R&oly_enc_id=7211D2691390C9R

    GlobalFoundries believes there is a bright future for chips that harvest the potential of photons, the building blocks of light, instead of electrons to propel data faster at a fraction of the power and cost.

    To get there, the U.S.-based foundry giant is banking on its second-generation silicon-photonics platform, called GF Fotonix. It has landed design wins with leaders in server networking chips such as Broadcom, Cisco, Marvell, and NVIDIA, as well as startups Ayar Labs, Lightmatter, PsiQuantum, and Ranovus to make chips that move data at the speed of light.

    The contract chip maker is doubling down on silicon photonics after falling behind more generally in the chip sector when it stepped out of the race with Intel, Samsung, and TSMC to make the most advanced processors.

    Reply
  27. Tomi Engdahl says:

    As Chip Shortage Drags on, One Sector’s Pain is Another’s Gain
    July 28, 2022
    TI said its production capacity is “fungible,” meaning that it can reallocate resources from weak markets to those where demand stays strong.
    https://www.electronicdesign.com/technologies/analog/article/21246830/electronic-design-as-chip-shortage-drags-on-one-sectors-pain-is-anothers-gain?utm_source=EG+ED+Auto+Electronics&utm_medium=email&utm_campaign=CPS220803103&o_eid=7211D2691390C9R&rdx.identpull=omeda|7211D2691390C9R&oly_enc_id=7211D2691390C9R

    In addition to ramping up more production capacity in the U.S., Texas Instruments is reallocating its resources help bring some relief to the automotive and industrial markets still being hit by chip shortages.

    The company on Tuesday said its revenue in the second quarter jumped by 14% to $5.2 billion as shipments improved thanks to the easing of supply bottlenecks and ongoing demand for chips used in cars and on factory floors.

    It also said third-quarter revenue would grow about 10% in the range of $4.90 billion to $5.30 billion, helping to assuage fears over hurting demand from a slowing economy and a glut of semiconductors on the market.

    Reply
  28. Tomi Engdahl says:

    NVIDIA Joins Push to Create Standard Chiplet Interconnect
    Aug. 3, 2022
    The company is putting its weight behind the emerging UCIe standard for universal chiplet connectivity.
    https://www.electronicdesign.com/technologies/embedded-revolution/article/21247757/electronic-design-nvidia-joins-push-to-create-standard-chiplet-interconnect?utm_source=EG+ED+Analog+%26+Power+Source&utm_medium=email&utm_campaign=CPS220804103&o_eid=7211D2691390C9R&rdx.identpull=omeda|7211D2691390C9R&oly_enc_id=7211D2691390C9R

    NVIDIA said it plans to plug chiplets into future generations of its processors, linking them together at the package level using a new standard die-to-die interconnect backed by AMD, Arm, Intel, and Qualcomm.

    The Santa Clara, California-based company joined the board of the industry group coalescing around the “Universal Chiplet Interconnect Express” (UCIe) interface that could help reshape the world of chip design.

    Ideally, the new UCIe standard would allow everyone from semiconductor giants to startups to buy chiplets for CPU cores, peripherals, memory, accelerators, and many other subsystems, and then place them on a substrate in a similar way to assembling parts on a tiny circuit board. With UCIe, it will also be possible to mix and match chiplets no matter the companies that designed and manufactured each one.

    Reply
  29. Tomi Engdahl says:

    Taiwanissa tehdään 80 prosenttia kännykkäprosessoreista
    https://etn.fi/index.php/13-news/13839-taiwanissa-tehdaeaen-80-prosenttia-kaennykkaeprosessoreista

    Strategy Analytics on esitellyt kännyköiden sovellusprosessorien markkinaosuudet vuoden ensimmäiseltä neljännekseltä. Qualcomm jatkaa listan kärjessä selkeällä erolla muihin. Peräti 80 prosenttia kännykkäprosessoreista valmistetaan TSMC:n linjoilla Taiwanissa.

    Tämä on tietysti syy, miksi tulenarka tilanne Kiinan ja Taiwanin välillä on niin vaarallinen. Kännykkäpiirien lisäksi Taiwanissa valmistetaan iso osa muistakin edistyksellisistä mikropiireistä. Sotilaallinen eskalaatio tulisi käytännössä pysäyttämään elektroniikkavalmistuksen maailmassa.

    Strategy Analyticsin mukaan Qualcommin osuus kännykkäprosessorien markkinoista oli tammi-maaliskuussa 44,6 prosenttia. Mediatek on onnistunut kasvattamaan markkinaosuutensa 24,7 prosenttiin ja Applen lukema on 21,9 prosenttia.

    Reply
  30. Tomi Engdahl says:

    PCIe 5 näyttää nyt voimansa yritysluokan muisteissa
    https://etn.fi/index.php?option=com_content&view=article&id=13805&via=n&datum=2022-07-29_13:10:40&mottagare=31202

    Viidennen polven PCI express -tekniikka tekee hiljalleen tuloaan laitteisiin ja sen myötä datansiirron nopeus muistin ja prosessorin välillä siirtyy aivan uudelle tasolle. Taiwanilainen Silicon Motion osoittaa suorituskyvyn uudella MonTitan-alustallaan.

    MonTitan on ohjelmoitava PCIe Gen5 -alusta, joka hyödyntää Silicon Motionin 3. sukupolven NVMe-ohjainperhettä. SM8366-ohjaimeen perustuva alusta tukee datakeskusten NVMe SSD- ja NVMe 2.0 -spesifikaatioita.

    Reply
  31. Tomi Engdahl says:

    Vuoden päästä kiintolevyille sopii yli 30 teratavua dataa
    https://etn.fi/index.php?option=com_content&view=article&id=13803&via=n&datum=2022-07-29_13:10:40&mottagare=31202

    Perinteinen magneettinen tallennus on yksi niitä tekniikoita, joiden on useaan kertaan ennustettu katoavan markkinoilta. Flashin piti tappaa HDD-levyt jo kymmenen vuotta sitten. Monissa sovelluksissa HDD pitää kuitenkin edelleen pintansa ja Seagate lupaa vuoden kuluttua jo yli teratavun levyjä tarjolle.

    Seagaten pääjohtaja David Mosley lupasi yhtiön tulosjulkistuksen yhteydessä, että yli 30 teratavuun päästään yhtiön omalla HAMR-tekniikalla. HAMR-menetelmässä (Head Assisted Magnetic Recording) levymateriaali lämmitetään laserilla 400-700 asteeseen ennen datan kirjoitusta. Tämä helpottaa kirjoitusta, mutta asettaa kovia vaatimuksia itse tallennusmedialle, jonka täytyy pystyä kuumenemaan ja viilenemään hyvin nopeasti tuhansia kertoja.

    Reply
  32. Tomi Engdahl says:

    Kiinalainen siruvalmistaja kopioi TSMC:n prosessin
    https://etn.fi/index.php/13-news/13845-kiinalainen-siruvalmistaja-kopioi-tsmc-n-prosessin

    Kiinalainen siruvalmistaja kopioi TSMC:n prosessin

    Julkaistu: 08.08.2022

    Devices Business

    Yhdysvaltain päätös kieltää piirinvalmistuksen työkalujen myyminen Kiinaan tarkoittaa, että maa joutuu vauhdilla kehittämään omaa valmistustekniikkaansa. Nyt analysointiyritys on purkanut yhden kiinalaisen SMIC:n sirun atomeiksi ja sisältää paljastuu yllättäen jo 7 nanometrin valmistusprosessi.

    TechInsightsin analysoima piiri oli SMIC:n valmistama Bitcoin-valuutan louhintaan tarkoitettu MinerVa-prosessori. Jo ensimmäiset kuvat näyttivät hyvin samanlaiselta kuin TSMC:n 7 nanometrin prosessi. Taiwanilaisyrityksen N7-prosessi tuli volyymikäyttöön neljä vuotta sitten.

    Bitcoin-louhija on monella tapaa esimerkiksi kännykkäprosessoreja helpompi piiri. Siinä on esimerkiksi vähemmän RAM-muistia, eikä logiikka vaadi niin paljon integroitua muistia. MinerVa-piirillä on ennen kaikkea louhimisen vaatima logiikka.

    7 nanometriä on vähintäänkin kompleksinen valmistusprosessi. Se voidaan tehdä perinteisillä askelvalottimilla, joita SMIC:llä on. Siirtyminen EUV-laitteistoon tekee 7 nanometrin piirien valmistuksesta helpompaa ja edullisempaa, mutta SMIC ei saa käyttöönsä EUV-laitteita. Ne kuuluvat amerikkalaisten määräävien pakotteiden piiriin.

    Reply
  33. Tomi Engdahl says:

    PC-markkina kohti romahdusta
    https://etn.fi/index.php/13-news/13832-pc-markkina-kohti-romahdusta

    Nurkan takana lymyävä taantuma tulee rokottamaan PC-myyntiä, mikä on aina ollut yksi vahvimpia puolijohdealan moottoreita. Sekä Intel että AMD ennustavat PC-myynnin kutistuvan tänä vuonna yli 10 prosenttia. Kehitys näkyy jo kesäkuun puolijohdetilastoissa.

    Tutkimuslaitos IC Insightsin mukaan kesäkuu on perinteisesti ollut vuoden vahvin kuukausi puolijohteissa. Nyt muistien myynti on menossa vahvasti alaspäin. Micron on ennustanut, että käynnissä oleva neljännes päätyy 17 prosentin pudotukseen liikevaihdossa.

    Toinen ja kolmas neljännes ovat tyypillisesti IC-myynnin kaksi vahvinta neljännestä. Vuodesta 1984 lähtien toisen vuosineljänneksen myynti on kasvanut keskimäärin 4,2 prosenttia ja kolmannella neljänneksellä keskimäärin 6,1 prosenttia.

    Jo toisella neljänneksellä markkinakasvu tyssäsi kokonaan. Nyt IC Insights arvioi, myös kolmannen ja neljännen vuosineljänneksen myynti jää jälkeen pitkän aikavälin keskiarvosta. Tutkimuslaitoksen mukaan heikko tilanne johtuu taloudellisista huolenaiheista, jotka johtuvat nousevasta inflaatiosta, jatkuvista toimitusketjun häiriöistä sekä siitä, että toimittajat ja OEM-valmistajat pyrkivät pienentämään varastojaan.

    Reply
  34. Tomi Engdahl says:

    Uutuusohjain – kun laitteen täytyy käynnistyä alle sekunnissa
    https://etn.fi/index.php/13-news/13834-uutuusohjain-kun-laitteen-taeytyy-kaeynnistyae-alle-sekunnissa

    Joskus teollisuuden laitteen pitää käynnistyä salamannopeasti ja silti tukea tarkkoja, jopa teräväpiirtoon yltäviä kosketusnäyttöjä. Renesas on suunnannut uuden RZ-sarjan ohjainpiirinsä juuri tällaisiin sovelluksiin.

    RZ/A3UL-ohjaimet perustuvat yhden gigahertsin kellotaajuudella operoivaan 64-bittiseen Arm Cortex-A55-ytimeen. RTOS:n avulla järjestelmät käynnistyvät välittömästi, alle sekunnissa käynnistyksen jälkeen. Tämä ominaisuus on ihanteellinen järjestelmiin, jotka vaativat nopeaa vasteaikaa, kuten teollisuuslaitteet, kodinkoneet ja toimistoautomaatiolaitteet, joissa on nestekidenäyttö tai ohjauspaneeli, sekä audiolaitteet ja myyntipäätteet.

    Reply

Leave a Comment

Your email address will not be published. Required fields are marked *

*

*