Tech trends 2022

The year 2021 was strange, you can read more of it from A 2021 technology retrospective: Strange days indeed. But how strange will 2022 be? Here are some predictions for year 2022:

2022 preview: Will the global computer chip shortage ever end?
The growing demand for computer chips, used in everything from cars to fridges, has collided with the effects of the coronavirus pandemic, leading to a global shortage that is likely to continue through 2022
Read more: https://www.newscientist.com/article/2022-2022-preview-will-the-global-computer-chip-shortage-ever-end/#ixzz7GqrP1H9A

Industry Transforming In Ways Previously Unimaginable
https://semiengineering.com/industry-transforming-in-ways-previously-unimaginable/?cmid=3dedf05d-0284-497a-b015-daf7747872e6

As we look back over 2021, there have certainly been some surprises, but the industry continues to take everything in its stride.

2022 tech themes: A look ahead
https://www.edn.com/2022-tech-themes-a-look-ahead/

The continued COVID-19 question mark: The world quickly and dramatically changed. It hasn’t yet reverted to pre-pandemic characteristics, and it very likely never will. Sad but true, the pandemic isn’t even close to being over yet.
Deep learning’s Cambrian moment: Look at today’s participant-rich deep learning silicon and software market, spanning both training and inference.
The ongoing importance of architecture: As the number of transistors that it’s possible to cost-effectively squeeze onto a sliver of silicon continues to slow, what you build out of those transistors becomes increasingly critical.
Open source processors’ time in the sun: There is a burgeoning RISC-V movement. It’s likely a little-known fact to some of you, that a public domain instruction set for v2 and earlier versions of the Arm ISA exists. And both Sun (with OpenSPARC) and IBM (OpenPOWER) have also joined the open-source silicon movement.
The normalization of remote work (and the “Great Resignation’s” aftershocks): I suspect that, to at least a notable degree, we won’t ever completely return to the “way it was before.” In fact, I’d wager that having a taste of a work-from-home or “hybrid” employment lifestyle is one of the key factors behind the so-called “Great Resignation” that tech and broader media alike inform me is well underway.
The metaverse starts to stir: Perhaps we’ll look back at 2022 as the year when the crossing of the chasm started in earnest.
Autonomy slowly accelerates: 2021 was another year filled with fully autonomous car tests and premature “coming soon” pronouncements; 2022 will likely be the same.
Batteries get ever denser, ever more plentiful, and ever cheaper
Space travel becomes commonplace

Global semiconductor industry forecasts for 2022
https://www.digitimes.com/news/a20211229VL205.html

“2021 is the year that everyone remembered that chip mattered,” said Wired Magazine. So far 2022 seems likely to be another fruitful year for the semiconductor industry.

World Semiconductor Trade Statistics (WSTS) also has predicted that the global semiconductor market is projected to grow by 8.8 percent in 2022, to US$ 601 billion, driven by double-digit growth of the sensors and logic category. All regions and all product categories are expected to continue positive growth. Wafer foundry manufacturers sales likely to remain strong due to tight supply. 5G smartphone silicon content increase to drive demand for foundry service higher. Demand for digital transformation is here to stay, no sign of weakening for foundry service sales.

The COVID-19 pandemic accelerated digital transformation over the past two years. Work from home, virtual conference, and remote learning have driven up the demand for cloud computing, laptops, and servers, and hence the sales growth of related semiconductor products. Demands for CPU, GPU, AI accelerator (including FPGA) foundry services will remain strong in 2022 because trends such as virtual conferences, live streaming, and large capex of data centers are likely to stay. Long-term demands for customized chips in IoT, 5G infrastructure, HPC, and EV applications, like ADAS, autonomous driving, V2X, in-Vehicle Infotainment, will provide robust growth momentum for chip foundry services.

Chip crunch is not ending in 2022, as the lead time of some electronic components is stretching into 2023. Meanwhile, the increasing adoption of RISC-V open standard instruction set architecture is an important trend that can not be ignored. RISC-V market will double its size in 2022, compared to 2021, as it is attracting small and medium-size chip designers and manufacturers, especially those in China. RISC-V designs are now being used by Qualcomm, Samsung, Google, Microchip, Nvidia, and more.

Taiwan’s chip industry emerges as a battlefront in US-China showdown
https://www.taipeitimes.com/News/editorials/archives/2022/01/01/2003770517

The country dominates production of chips used in almost all civilian and military technologies. That leaves the US and Chinese economies reliant on plants that would be in the line of fire in an attack on Taiwan. The vulnerability is stoking alarm in Washington

40 prosenttia pienempiä latureita
https://etn.fi/index.php?option=com_content&view=article&id=12981&via=n&datum=2021-12-20_14:53:12&mottagare=30929

The size of a standard mobile phone charger can be reduced by up to 40 percent when using GaN components or it can be designed to produce more power in the same size. GaN chargers are becoming the most popular charger technology for billions of devices, so it’s no wonder that European semiconductor giant STMicroelectronics is also excited about them.

1,320 Comments

  1. Tomi Engdahl says:

    Analysis: U.S. ban on Nvidia, AMD chips seen boosting Chinese rivals
    https://www.reuters.com/technology/us-ban-nvidia-amd-chips-seen-boosting-chinese-rivals-2022-09-08/

    SAN FRANCISCO, Sept 8 (Reuters) – The U.S. ban on exports to China of Nvidia and AMD’s flagship artificial intelligence chips will create new business opportunities for domestic startups jockeying for a piece of China’s fast-growing data center chip market, industry executives and analysts told Reuters.

    Reporting By Jane Lanhee Lee and Stephen Nellis Editing by Kenneth Li and Christopher Cushing

    Reply
  2. Tomi Engdahl says:

    Apple’s (first) fall 2022 announcement event: half-steps provide only prior-gen augment (vs fuller advancement)
    https://www.edn.com/apples-first-fall-2022-announcement-event-half-steps-provide-only-prior-gen-augment-vs-fuller-advancement/

    Reply
  3. Tomi Engdahl says:

    AMD Ryzen 7 5800X3D – Windows 11 vs. Ubuntu 22.04 LTS Linux Benchmarks
    https://www.phoronix.com/review/5800x3d-windows11-linux

    Reply
  4. Tomi Engdahl says:

    EU suunnittelee isoja muutoksia puhelimiin https://www.is.fi/digitoday/mobiili/art-2000009051401.html

    Viiden vuoden vaatimus tietoturvapäivityksille on osa säädösluonnosta, jossa on useita ehdotuksia matkapuhelinten kestävyyden parantamiseksi. Lisäksi akuille halutaan uudenlaisia vaatimuksia.
    EUROOPAN komissio ehdottaa laajoja muutoksia matkapuhelinten kestävyyteen. Säädösluonnoksen mukaan tavoitteena on varmistaa, että matkapuhelimet ja tabletit on suunniteltu energiatehokkaiksi ja kestäviksi, kuluttajat voivat helposti korjata, päivittää ja huoltaa niitä ja laitteet voidaan käyttää uudelleen ja kierrättää.

    Yksi tärkeimmistä ehdotetuista pykälistä koskee puhelinten saamia tietoturvapäivityksiä. Aikeena on pakottaa valmistajat tarjoamaan niitä 5 vuoden ajan lukien siitä hetkestä, jolloin tuote poistuu markkinoilta. Eli jos puhelin on myynnissä 2 vuotta, tuen kaari olisi vähintään 7 vuotta.

    https://ec.europa.eu/info/law/better-regulation/have-your-say/initiatives/12797-Matkapuhelinten-ja-tablettien-kestava-suunnittelu-ekosuunnittelu_fi

    Reply
  5. Tomi Engdahl says:

    Go plug yourself, Apple — Can we please just have USB-C on the iPhone already?
    https://techcrunch.com/2022/09/07/apple-usb-c/?tpcc=tcplusfacebook

    Reply
  6. Tomi Engdahl says:

    Nicholas Megaw / Financial Times:
    Wall Street firms recover lost ground in the talent war as Silicon Valley companies freeze hiring and computer engineers leave Big Tech and crypto for finance

    Wall St jobs are hot again as tech and crypto sectors lay off staff
    https://www.ft.com/content/fa956177-5daa-43fd-8a64-79f5eebcc309

    Reply
  7. Tomi Engdahl says:

    https://etn.fi/index.php/13-news/13988-uuden-iphonen-erikoisuus-auttaa-vain-harvoja

    Applen uusi iPhone 14 toi paremmat kamerat, vaihtoi vanhan loven ”dynaamiseksi saareksi” ja lisäsi prosessoritehoa entiseen tapaan, mutta yhdeksi myyntivaltiksi Apple nosti sen, että laite pystyy soittamaan hätäpuheluita myös kännykkäverkkojen ulkopuolella. OpenSignalin tutkimus osoittaa, että kyse on varsin harvinaisesta tarpeesta.

    OpenSignal analysoi yli sataa maata ja tarkasteli, kuinka suuren osan ajasta älypuhelimet ovat kännykkäverkkojen ulkopuolella. Tyypillisesti tällainen tilanne on hyvin marginaalinen. Suomessa kännykkäverkkojen ulkopuolelle pääseminen edellyttää aktiivisia toimia.

    Kaikista tutkituista maista heikoin on tilanne Haitissa, jossa puhelimet ovat 5,25 prosenttia ajasta ilman yhteyttä verkkoon. Brasiliassa ja Nicaraguassa lukema on yli 3 prosenttia, mutta molemmissa on valtavia sademetsäalueita. Euroopassa tilanne on heikoin Ranskassa, jossa puhelimet ovat 2,14 prosenttia ilman signaalia. Tämä selittynee Alpeilla.

    USA:ssa ja Kanadassa, joissa Applen uusi SOS-palvelu tulee ensiksi käyttöön, puhelimet ovat vain reilun prosentin ajasta ilman verkkoyhteyttä. Aasiassa verkot ovat erittäin kattavia. Esimerkiksi Koreassa prosentti on 0,26.

    Suomi on koostaan huolimatta Euroopan ykkösmaa. Täällä kännykät ovat vain 0,64 prosenttia ajasta ilman verkkoa. Ruotsissa lukema on 0,70 prosenttia.

    Reply
  8. Tomi Engdahl says:

    Yhdelle kasetille 1,4 petatavua dataa
    https://etn.fi/index.php/13-news/13984-yhdelle-kasetille-1-4-petatavua-dataa

    LTO eli Linear Tape Open on nauhatallennuslaitteiden valmistajien yhteinen avoin standardi, joka tällä hetkellä mahdollistaa pakattuna jopa 45 teratavun tallennuksen yhdelle kasetille. Järjestö on nyt piirtänyt tiekarttaansa viisi seuraavan LTO-sukupolvea. Viimeisen eli LTO-14:n myötä yhden kasetin maksimikapasiteetti kasvaa peräti 1,4 petatavuun.

    Nykyinen LTO 9 -standardi hyväksyttiin toissa vuonna. Jo viime vuonna valmistajista Sony ja Fujifilm esittelivät määritysten mukaisia, jopa 45 teratavun kasetteja. Data siirtonopeus kasvoi LTO-9:n myötä jopa 1000 megatavuun sekunnissa.

    LTO-nauhatallennus on kasvavassa määrin ykkösvalinta, kun halutaan arkistoida suuria määriä dataa. Arvioiden mukaan tämän hetken LTO-9-kaseteilla gigatavun tallennus maksaa yhden sentin. IDC:n mukaan nauhatallennuksen markkinat kasvoivat viime vuonna 10,5 prosenttia, mikä kuvaa hyvää markkinakehitystä.

    Reply
  9. Tomi Engdahl says:

    Paul Sawers / TechCrunch:
    Linux Foundation plans to form the OpenWallet Foundation, developing open-source interoperability for digital wallets, supported by Okta, Accenture, and more

    https://techcrunch.com/2022/09/13/linux-foundation-announces-the-openwallet-foundation-to-develop-interoperable-digital-wallets/

    Reply
  10. Tomi Engdahl says:

    Vedanta and Foxconn sign agreement with Indian state for $20 billion semiconductor unit
    https://techcrunch.com/2022/09/12/vedanta-and-foxconn-sign-agreement-with-indian-state-for-20-billion-semiconductor-unit/?tpcc=tcplusfacebook

    Indian oil-to-metals conglomerate Vedanta and global manufacturing giant Foxconn have signed a memorandum of understanding with the Indian state of Gujarat to set up a $20 billion semiconductor and display unit in the coastal state that is home of Prime Minister Narendra Modi, officials said Tuesday.

    Foxconn will bring technical expertise to the venture whereas Vedanta, which has a background in mining, will finance the project, top officials said. The state of Gujarat will offer subsidies on capital expenditure and electricity to the project.

    Reply
  11. Tomi Engdahl says:

    Kasvava trendi? Sandisk räätälöi muistikortin suositulle pelille
    https://etn.fi/index.php/13-news/13998-kasvava-trendi-sandisk-raeaetaeloei-muistikortin-suositulle-pelille

    Western Digital on julkistanut nykyisin omistamansa Sandisk-brändin kautta Nintendo Switchille ja erityisesti suositulle Fortnite-pelaajille räätälöidyn microSD-muistikortin. Lisävetoa kortille haetaan sillä, että kortin mukana saa Fortnite-virtuaaliesineen.

    Kortti on toteutettu yhteistyössä Epic Gamesin ja Nintendon kanssa. Oikeudet pelin tuotenimeen hankittiin IMG:ltä, joka hallinnoi pelimerkin lisensointioikeuksista kuluttajamarkkinoille. Kortti tulee yksinoikeudella Nintendo Switchille ja ideana on tarjota pelaajille luotettava ja vakaa tallennusratkaisu riippumatta siitä, missä he Fortnitea pelaavat.

    Kortista on kaksi versiota, jotka perustuvat kahteen pelistä tuttuun asuun: Skull Trooper (128 Gt) ja Cuddle Team Leader (256 Gt). Pienemmän kortin hinta on 33,99 euroa, suuremman 58,99 euroa.

    Reply
  12. Tomi Engdahl says:

    CNBC:
    After surprising CPI data, the Nasdaq closes down 5.16%, Nvidia down 9.47%, Meta down 9.37%, Coinbase down 8.84%, Amazon down 7.06%; BTC fell 9%+ in 24 hours — Stocks fell sharply on Tuesday after a key August inflation report came in hotter than expected, hurting investor optimism …
    Dow tumbles 1,200 points for worst day since June 2020 after hot inflation report
    https://www.cnbc.com/2022/09/12/stock-futures-are-higher-as-wall-street-awaits-key-inflation-report-.html

    Stocks fell sharply on Tuesday after a key August inflation report came in hotter than expected, hurting investor optimism for cooling prices and a less aggressive Federal Reserve.

    The Dow Jones Industrial Average slid 1,276.37 points, or 3.94%, to close at 31,104.97. The S&P 500 dropped 4.32% to 3,932.69, and the Nasdaq Composite sank 5.16% to end the day at 11,633.57.

    Just five stocks in the S&P 500 finished in positive territory. Tech stocks were hit particularly hard, with Facebook-parent Meta skidding 9.4% and chip giant Nvidia shedding 9.5%.

    Reply
  13. Tomi Engdahl says:

    Cheng Ting-Fang / Nikkei Asia:
    Sources: Apple plans to use TSMC’s N3E 3nm chipmaking process, expected in H2 2023, for its A17 chips in some 2023 iPhones and its M3 chips for Macs — Move will mark industry’s first adoption of updated production technology — TAIPEI — Apple aims to be the first company to use …
    https://asia.nikkei.com/Business/Tech/Semiconductors/Apple-to-use-TSMC-s-next-3-nm-chip-tech-in-iPhones-Macs-next-year

    Reply
  14. Tomi Engdahl says:

    Sabrina Ortiz / ZDNet:
    Google signs a cooperative research and development agreement with the US NIST to develop new nanotechnology and semiconductor devices with open-source designs — Chips used to develop new nanotechnology and semiconductor devices oftentimes have a large price tag, posing a big obstacle for innovation.

    Google partners with the US government to supply chips and spur innovation
    The collaboration will dramatically decrease the price of chips used in the semiconductor and nanotechnology industries.
    https://www.zdnet.com/article/google-partners-with-the-us-government-to-supply-chips-and-spur-innovation/

    Reply
  15. Tomi Engdahl says:

    Cheng Ting-Fang / Nikkei Asia:
    Sources: Apple plans to use TSMC’s N3E 3nm chipmaking process, expected in H2 2023, for its A17 chips in some 2023 iPhones and its M3 chips for Macs — Move will mark industry’s first adoption of updated production technology — TAIPEI — Apple aims to be the first company to use …

    Apple to use TSMC’s next 3-nm chip tech in iPhones, Macs next year
    Move will mark industry’s first adoption of updated production technology
    https://asia.nikkei.com/Business/Tech/Semiconductors/Apple-to-use-TSMC-s-next-3-nm-chip-tech-in-iPhones-Macs-next-year

    Apple plans to adopt Taiwan Semiconductor Manufacturing Co.’s updated 3-nanometer tech for some iPhones and Mac computers next year, sources told Nikkei Asia. (Source photos by Reuters)
    CHENG TING-FANG, Nikkei Asia chief tech correspondentSeptember 14, 2022 12:00 JSTUpdated on September 14, 2022 14:50 JST

    TAIPEI — Apple aims to be the first company to use an updated version of Taiwan Semiconductor Manufacturing Co.’s latest chipmaking technology next year, with plans to adopt it for some of its iPhones and Mac computers, sources briefed on the matter told Nikkei Asia.

    The A17 mobile processor currently under development will be mass-produced using TSMC’s N3E chipmaking tech, expected to be available in the second half of next year, according to three people familiar with the matter. The A17 will be used in the premium entry in the iPhone lineup slated for release in 2023, they said.

    Reply
  16. Tomi Engdahl says:

    Intel Arc GPU effectively cancelled: ‘decision has been made’
    Intel Arc desktop GPUs are DOA… Alchemist will limp onto the stage, Battlemage might swing something around, but it’ll be shelved by Celestial.

    Read more: https://www.tweaktown.com/news/88393/intel-arc-gpu-effectively-cancelled-decision-has-been-made/index.html

    Reply
  17. Tomi Engdahl says:

    Google cancels half the projects at its internal R&D group Area 120
    https://techcrunch.com/2022/09/14/google-cancels-half-the-projects-at-its-internal-rd-group-area-120/?tpcc=tcplusfacebook

    Google CEO Sundar Pichai, speaking at the Code Conference last week, suggested the tech company needed to become 20% more efficient — a comment some in the industry took to mean headcount reductions could soon be on the table. Now, it seems that prediction may be coming true. TechCrunch has learned, and Google confirmed, the company is slashing projects at its in-house R&D division known as Area 120.

    The company on Tuesday informed staff of a “reduction in force” that will see the incubator halved in size, as half the teams working on new product innovations heard their projects were being canceled. Previously, there were 14 projects housed in Area 120, and this has been cut down to just seven. Employees whose projects will not continue were told they’ll need to find a new job within Google by the end of January 2023, or they’ll be terminated. It’s not clear that everyone will be able to do so.

    According to Area 120 lead Elias Roman, the division aims to sharpen its focus to only AI-first projects, as opposed to its earlier mandate to fuel product incubation across all of Google.

    Over the years, the division has launched a number of successful products, including the HTML5 gaming platform GameSnacks, now integrated with Google Chrome; an AirTable rival called Tables which exited to Google Cloud; an AI-powered conversational ads platform AdLingo, which also exited to Cloud; video platforms Tangi and Shoploop, which exited to Google Search and Shopping, respectively; the web-based travel app Touring Bird, which exited to Commerce; and a technical interview platform Byteboard, a rare external spinout.

    Reply
  18. Tomi Engdahl says:

    Melissa Repko / CNBC:
    Walmart is launching a virtual try-on tool that allows shoppers to see how a clothing item would look on their own bodies without having to visit a store

    Walmart unveils virtual fitting room to push shoppers to buy more clothes
    https://www.cnbc.com/2022/09/15/walmart-unveils-virtual-fitting-room-to-push-shoppers-to-buy-more-clothes.html

    Walmart is launching a virtual try-on tool to help shoppers see how a shirt, dress or another clothing item would look on their own body.
    It is the latest way that the retailer is using technology from Zeekit, a startup it acquired last year.
    The discounter is launching the tool as some shoppers trim back purchases of discretionary purchases, such as clothing.

    Reply
  19. Tomi Engdahl says:

    Joyce Lee / Reuters:
    Samsung Electronics pledges to invest $5B+ in R&D on tech to achieve net zero emissions for its devices business by 2030 and across the company by 2050

    Samsung Elec to invest over $5 bln as it targets net zero emissions by 2050
    https://www.reuters.com/business/sustainable-business/samsung-elec-invest-over-5-bln-it-targets-net-zero-emissions-by-2050-2022-09-15/

    Reply
  20. Tomi Engdahl says:

    Patrick Kennedy / ServeTheHome:
    Arm unveils Neoverse V2, its next-gen performance-optimized cores for the cloud, hyperscale, and HPC workloads, which is used in Nvidia’s upcoming Grace CPU — Today Arm announced its next-generation cores for higher performance per core applications. Dubbed the Arm Neoverse V2 or “Demeter” …

    Arm Neoverse V2 Cores Launched for NVIDIA Grace and CXL 2.0 PCIe Gen5 CPUs
    https://www.servethehome.com/arm-neoverse-v2-cores-launched-for-nvidia-grace-and-cxl-2-0-pcie-gen5-cpus/

    Today Arm announced its next-generation cores for higher performance per core applications. Dubbed the Arm Neoverse V2 or “Demeter” core design, this will be the core design used for the NVIDIA Grace CPU when it launches in 2023.
    Arm Neoverse V2 Cores Launched for NVIDIA Grace and CXL 2.0 PCIe Gen5 CPUs

    During the event today, Arm recapped its data center CPUs built on Arm Neoverse cores such as the A64fx powering Fugaku, the Ampere Altra / Altra Max, AWS Graviton3, and Alibaba Yitian 710. For some reason, this slide leaves out the Huawei HiSilicon Kunpeng 920 Arm Server CPU.

    Today Arm announced its next-generation cores for higher performance per core applications. Dubbed the Arm Neoverse V2 or “Demeter” core design, this will be the core design used for the NVIDIA Grace CPU when it launches in 2023.
    Arm Neoverse V2 Cores Launched for NVIDIA Grace and CXL 2.0 PCIe Gen5 CPUs

    During the event today, Arm recapped its data center CPUs built on Arm Neoverse cores such as the A64fx powering Fugaku, the Ampere Altra / Altra Max, AWS Graviton3, and Alibaba Yitian 710. For some reason, this slide leaves out the Huawei HiSilicon Kunpeng 920 Arm Server CPU.

    Reply
  21. Tomi Engdahl says:

    Kyle Wiggers / TechCrunch:
    Intel, Arm, and Nvidia propose a new open, license-free specification with 8-bit floating point, or FP8, precision as a common interchange format for AI — In pursuit of faster and more efficient AI system development, Intel, Arm and Nvidia today published a draft specification for what they refer …

    Intel, Arm and Nvidia propose new standard to make AI processing more efficient
    Kyle Wiggers
    https://techcrunch.com/2022/09/14/intel-amd-and-nvidia-propose-new-standard-to-make-ai-processing-more-efficient/

    In pursuit of faster and more efficient AI system development, Intel, Arm and Nvidia today published a draft specification for what they refer to as a common interchange format for AI. While voluntary, the proposed “8-bit floating point (FP8)” standard, they say, has the potential to accelerate AI development by optimizing hardware memory usage and work for both AI training (i.e., engineering AI systems) and inference (running the systems).

    When developing an AI system, data scientists are faced with key engineering choices beyond simply collecting data to train the system. One is selecting a format to represent the weights of the system — weights being the factors learned from the training data that influence the system’s predictions. Weights are what enable a system like GPT-3 to generate whole paragraphs from a sentence-long prompt, for example, or DALL-E 2 to create photorealistic portraits from a caption.

    NVIDIA, Arm, and Intel Publish FP8 Specification for Standardization as an Interchange Format for AI
    https://developer.nvidia.com/blog/nvidia-arm-and-intel-publish-fp8-specification-for-standardization-as-an-interchange-format-for-ai/

    AI processing requires full-stack innovation across hardware and software platforms to address the growing computational demands of neural networks. A key area to drive efficiency is using lower precision number formats to improve computational efficiency, reduce memory usage, and optimize for interconnect bandwidth.

    To realize these benefits, the industry has moved from 32-bit precisions to 16-bit, and now even 8-bit precision formats. Transformer networks, which are one of the most important innovations in AI, benefit from an 8-bit floating point precision in particular. We believe that having a common interchange format will enable rapid advancements and the interoperability of both hardware and software platforms to advance computing.

    NVIDIA, Arm, and Intel have jointly authored a whitepaper, FP8 Formats for Deep Learning, describing an 8-bit floating point (FP8) specification. It provides a common format that accelerates AI development by optimizing memory usage and works for both AI training and inference. This FP8 specification has two variants, E5M2 and E4M3.

    This format is natively implemented in the NVIDIA Hopper architecture and has shown excellent results in initial testing. It will immediately benefit from the work being done by the broader ecosystem, including the AI frameworks, in implementing it for developers.

    Reply
  22. Tomi Engdahl says:

    Stephanie Bodoni / Bloomberg:
    An EU court backs the European Commission’s decision to fine Google over Android antitrust breaches but trims the record €4.3B fine to €4.1B; Google can appeal — Google lost most of the first round of its battle to topple a record 4.3 billion-euro ($4.3 billion) …

    Google Suffers Setback in Court Fight to Topple Record EU Fine
    https://www.bloomberg.com/news/articles/2022-09-14/google-loses-most-of-its-eu-case-over-record-android-fine#xj4y7vzkg

    Search giant challenged bloc’s record penalty over Android
    Google gets a small cut of the fine down to 4.1 billion euros

    Reply
  23. Tomi Engdahl says:

    Adobe Blog:
    A survey of 5,000 emoji users in the US finds 91% think emoji make it easier to express themselves, 68% like emoji at work, is the most popular, and more
    https://blog.adobe.com/en/publish/2022/09/13/emoji-trend-report-2022

    The Future of Creativity: 2022 U.S. Emoji Trend Report reveals insights on emoji use for…and more
    https://blog.adobe.com/en/publish/2022/09/13/emoji-trend-report-2022

    Reply
  24. Tomi Engdahl says:

    Lightning-liitin täytti 10 vuotta, joko aika luopua?
    https://etn.fi/index.php/13-news/14002-lightning-liitin-taeytti-10-vuotta-joko-aika-luopua

    Maanantaina tuli kuluneeksi 10 vuotta siitä, kun Apple esitteli iPhone 5 -puhelimen myötä uuden Lightning-liittimen. Sen korvaamisesta C-tyypin USB:llä on puhuttu paljon ja jo useamman vuoden ajan, mutta ainakin tuore iPhone 14 turvaa vielä vanhaan liittimeen.

    Itse asiassa Lightning-liitin nähtiin ensimmäisen kerran iPod-soittimessa. Se korvasi vanhan 30-nastaisen liittimen ja oli symmetrinen, joten se voidaan liittää laitteisiin kummin päin tahansa. Liittimellä on kuitenkin rajoituksensa.

    8 nastaa kummallakin puolella on liitetty vastapäiseen nastaan toisella puolella, mitä symmetrisyys edellytti. Ohjaimen tehtävä on ohjata teho- ja datasignaalit oikeiden nastojen läpi.

    Lightning ei nimestään huolimatta ole kovin nopea liitäntä, vaikka sitä aikoinaan olikin. Maksimidatanopeus on 480 megabittiä sekunnissa, kun esimerkiksi USB 3.0:n datanopeus on 5 gigabittiä sekunnissa. USB 3.0 -tuen Apple on tuonut vain joidenkin iPad-mallien liitäntään.

    Reply
  25. Tomi Engdahl says:

    Apple’s power rating for its Lightning cable is 5 Volts DC carrying 1.8 Amps of current equaling 9 Watts of power.

    Reply
  26. Tomi Engdahl says:

    SSD-levy on perinteistä luotettavampi
    https://etn.fi/index.php/13-news/14005-ssd-levy-on-perinteistae-luotettavampi

    Backblaze on amerikkalainen datan tallennuspalveluja tarjoava yritys. Vuonna 2019 se alkoi siirtyä käyttämään SSD-levyjä ja on nyt kaksi kertaa julkistanut dataa eri tekniikoiden luotettavuudesta. Tulokset ovat selviä: SSD on luotettavampi tallennustekniikka.

    Vertailun mahdollistaa se, että Backblaze käyttää molempia levyjä palvelimien käynnistys- eli boot-levyinä. Näin ne tekevät täysin samoja toimintoja: käynnistävät tallennuspalvelimia, rekisteröivät tapahtumalokeja, jne.

    Backblaze on laskenut AFR-luvun kaikille niille levyille, joilla on riittävästi käyttöaikaa takanaan. AFR (lifetime annualized failure rate) viittaa todennäköisyyteen, jolla levy pettää koko elinkaarensa aikana. Pahimmillaan AFR-luku on 10 prosentin luokkaa, mutta yleensä prosentin tasoa tai alempi. Käytännössä tietysti SSD-levystä joka sadas saattaa pettää elinkaarensa aikana.

    Datansa perusteella yhtiö on piirtänyt luotettavuuskäyrät sekä HDD- että SSD-levyilleen. Ne kuvaavat hyvin tekniikoiden eroja. 3-4 ensimmäisen vuoden aikana luotettavuudessa ei juuri ole eroja, tosin HDD-levyjen AFR-luvut ovat hieman korkeampia. Neljännen vuoden jälkeen tekniikoissa näkyy dramaattinen ero: HDD-levyjen vikaantumiset lähtevät kasvamaan selvästi, kun taas SSD-levyissä AFR-luku pysyy noin prosentin tasolla.

    https://www.backblaze.com/blog/ssd-drive-stats-mid-2022-review/

    Reply
  27. Tomi Engdahl says:

    Jiaxing Li / South China Morning Post:
    A look at Shanghai’s status as China’s chip hub: SMIC and SMEE making 14nm and 90nm chips, ~$36.95B market size in 2021 or ~25% of China’s total, and more

    Tech war: Shanghai emerges as China’s semiconductor highland with one quarter of nationwide chip value output and most of the talent
    https://www.scmp.com/tech/policy/article/3192496/tech-war-shanghai-emerges-chinas-semiconductor-highland-one-quarter

    City has emerged as a centre for China’s most competitive chip players, including SMIC and SMEE
    Local authority gave priority to semiconductor businesses to resume their production and operations as soon as possible during recent lockdown

    Shanghai is styling itself as China’s semiconductor highland with the city now accounting for one quarter of the country’s semiconductor value output and 40 per cent of the country’s chip talent.

    Wu Jingcheng, director of the Shanghai Municipal Commission of Economy and Informatization, said at a press conference on Wednesday that the city’s chip firms are leading the country’s technological breakthroughs in the value chain, highlighting the important role of Shanghai in China’s semiconductor landscape.

    While Shanghai has made limited progress in achieving its other ambitions, such as becoming an “international financial centre by 2020”, or turning into a “free trade” zone, the city – which endured a two month draconian lockdown in April and May amid a Covid-19 outbreak – has emerged as a centre for China’s most competitive chip players.

    These include the country’s top fab Semiconductor Manufacturing International Corp (SMIC) and Shanghai Microelectronics (SMEE), the country’s most advanced chip equipment maker.

    According to Wu, the city has realised mass production of 14-nanometre chips, in an apparent reference to SMIC, and is able to make deep ultraviolet (DUV) lithography systems for 90-nm chips, in a reference to SMEE.

    In total, the market size of Shanghai’s semiconductor industry reached 250 billion yuan (US$36.95 billion) in 2021, or about a quarter of China’s total, according to Wu. The city has attracted over one thousand key industry players and over 40 per cent of the country’s chip talent, Wu added.

    Shanghai’s relative success in cultivating a big local semiconductor industry has been partly helped by the city’s preferential policies. To attract semiconductor businesses, talent and investors to the city, the Shanghai authority has rolled out a series of preferential measures, from government subsidies to tax breaks.

    Even during the city’s draconian lockdown in April and May, the local authority gave priority to semiconductor businesses to resume their production and operations as soon as possible.

    However, the city will have to withstand efforts by Washington to further curb China’s chip development. The US Commerce Department is planning to ban major semiconductor equipment suppliers such as KLA, Lam Research and Applied Materials from exporting to Chinese factories that make advanced semiconductors at the 14-nm node and smaller, according to a report this week by Reuters.

    China is currently struggling to make advanced chips, such as those used in the latest smartphones, mainly because it has no access to state-of-art lithography machines, a space currently dominated by Dutch company ASML Holding.

    Reply
  28. Tomi Engdahl says:

    Alex Battaglia / Eurogamer.net:
    A review of Intel’s pre-release AI upscaling tech XeSS, used in its new Arc A770 GPU, shows competitive results against Nvidia’s slightly older DLSS

    Intel’s XeSS tested in depth vs DLSS – the Digital Foundry technology review
    A strong start for a new, more open AI upscaler.
    https://www.eurogamer.net/digitalfoundry-2022-intels-xess-tested-in-depth-vs-dlss-the-digital-foundry-technology-review

    Intel’s debut Arc graphics cards are arriving soon and ahead of the launch, Digital Foundry was granted exclusive access to XeSS – the firm’s promising upscaling technology, based on machine learning. This test – and indeed our recent interview with Intel – came about in the wake of our AMD FSR 2.0 vs DLSS vs native rendering analysis, where we devised a gauntlet of image quality scenarios to really put these new technologies through their paces. We suggested to Intel that we’d love to put XeSS to the test in a similar way and the company answered the challenge, providing us with pre-release builds and a top-of-the-line Arc A770 GPU to test them on.

    XeSS is exciting stuff. It’s what I consider to be a second generation upscaler. First-gen efforts, such as checkerboarding, DLSS 1.0 and various temporal super samplers attempted to make half resolution images look like full resolution images, which they achieved to various degrees of quality. Second generation upscalers such as DLSS 2.x, FSR 2.x and Epic’s Temporal Super Resolution aim to reconstruct from quarter resolution. So in the case of 4K, the aim is to make a native-like image from just a 1080p base pixel count. XeSS takes its place alongside these technologies.

    To do this, XeSS uses information from current and previous frames, jittered over time.

    Even though XeSS has a cost in its own right – even with XMX unit acceleration – its benefit comes in the performance it saves compared to native resolution rendering. We did the vast bulk of our XeSS tests using a build of Shadow of the Tomb Raider, with integration into the game carried out by Intel itself. Testing a 4K output, the performance mode increased frame-rate by 88 percent, balanced mode by 66 percent, quality mode by 47 percent and ultra quality by 23 percent. The amount of performance saved over native rendering quality depends on the rendering load in question. In Tomb Raider with everything maxed at 4K, XeSS offers a good performance uptick on its performance or balanced modes.

    If you reduce the output resolution though, or reduce the settings quality, the GPU is less taxed and gains will be less impressive. For example, at 1440p at those same settings, performance mode only increases performance by 52 percent. Conversely, the heavier the rendering load is, the greater the savings will be. For example in 3D Mark’s XeSS test with tons of ray traced reflections and more at 4K, XeSS in performance mode delivers 177 percent more performance than native rendering. Put simply, the more intensive the rendering, the bigger the gain using XeSS.

    Another area to address concerns movement. The basic concept of these upscaling techniques is to re-use information from prior frames and inject it into the current one. However, fast camera movement, on-screen animation and ‘disocclusion’ (for example, the on-screen Lara suddenly moving to reveal new detail) is a stern challenge in that new detail needs to be resolved with less data to work with.

    In terms of transparencies, differences between DLSS and XeSS are minor, with XeSS being a touch blurrier – though we don’t know the extent to which DLSS sharpening may be influencing the comparison. Water is something quite different and another major difference, but I imagine that this one is more of an integration issue more than anything else. At native resolution and using DLSS, it works fine, but with XeSS it does not, causing the water to jitter, the effect increasing the lower the input resolution.

    Particle rendering can be challenging for these upscalers, but XeSS and DLSS both work well here. However, hair rendering has a pixellated effect on XeSS that puts it both behind DLSS and native resolution rendering – in fact, owing to the game’s standard TAA method, I think DLSS actually beats native in this respect.

    But still, there are issues here, the biggest being the moiré artefacts, which manifests nearly every time tiling detail shows up, tarnishing an otherwise very good presentation. Similarly, there is also the jittered water issue which needs looking at, although like I said earlier, I think this is an integration problem as opposed to some kind of fundamental weakness with XeSS itself.

    Even with these issues, I would say XeSS is shaping up to be a great success. Like the best upscaling solutions, it can beat the look of native 4K in some scenarios – even in performance mode, which uses a 1080p base image. It is directly competitive with DLSS in scenarios that I consider to be ‘hard mode’ for image reconstruction techniques. That said, it must be mentioned that it is competitive with a slightly older version of DLSS in Shadow of the Tomb Raider and a more recent title with a more modern integration could see differences.

    Reply
  29. Tomi Engdahl says:

    Tech war: record number of Chinese chip firms going out of business in sign of Beijing’s sputtering self-sufficiency drive
    As many as 3,470 firms – including those that use the Chinese word for ‘chip’ in their brands or operations – deregistered between January and August
    That number surpassed the 3,420 such firms that closed in 2021 and the 1,397 that went defunct in 2020
    https://www.scmp.com/tech/tech-trends/article/3192634/tech-war-record-number-chinese-chip-firms-going-out-business-sign?utm_content=article&utm_medium=Social&utm_source=Facebook#Echobox=1663279318

    Reply
  30. Tomi Engdahl says:

    https://etn.fi/index.php/13-news/14015-pula-komponenteista-helpottaa

    Komponenttikaupassa on edelleen monia haasteita. Autoelektroniikka kärsii Venäjän hyökkäyksestä Ukrainaan, jossa on tehty merkittäviä määriä kaapelisarjoja. TMSC:n linjat ovat tukossa, kun Apple on varannut käyttöönsä kaiken valmistuksen. – Komponenttipula on kuitenkin helpottamassa, sanoo Mouserin markkinoinnista Euroopassa vastaava Marie-Pierre Ducharme.

    Jakelijana Mouser on varautunut markkinoiden ongelmiin ennen kaikkea laajalla valikoimalla. Komponenttitoimittajia on yli 1400 ja uusia tuotteita järjestelmään listataan 8000 joka päivä. Kaikki tuotteet lähtevät maailmalle Teksasin Mansfieldissä sijaitsevasta jättivarastosta, jota on 2000-luvulla laajennettu voimakkaasti.

    Ducherme korostaa, että ison logistisen moottorin teho perustuu yhä enemmän automaatioon. Suosituimmat tuotteet sijoitetaan varastoissa nopeimmin poimittavissa. – Seuraamme komponenttiliikennettä koko ajan ja datan perusteella niiden sijainti varastoissa muuttuu säännöllisesti.

    Reply
  31. Tomi Engdahl says:

    Will This Be the World’s Largest Silicon-Carbide Materials Factory?
    Sept. 15, 2022
    Wolfspeed said it will spend up to $5 billion in a new silicon-carbide materials facility in North Carolina.
    https://www.electronicdesign.com/power-management/article/21250572/electronic-design-this-could-be-the-worlds-largest-siliconcarbide-materials-factory?utm_source=EG+ED+Analog+%26+Power+Source&utm_medium=email&utm_campaign=CPS220916049&o_eid=7211D2691390C9R&rdx.identpull=omeda|7211D2691390C9R&oly_enc_id=7211D2691390C9R

    Wolfspeed will invest up to $5 billion to construct what it claims will be the largest silicon-carbide (SiC) materials factory in the world, in a move to shore up its supply of a semiconductor key to the future of electric vehicles (EVs).

    The company said the new facility will be located on the outskirts of Raleigh, N.C., close to its corporate headquarters in Research Triangle Park.

    The new plant will help the company keep up with rising demand for SiC wafers for the next few years, internally and externally. Power MOSFETs forged from SiC have special physical properties that make it possible it to pump out more power and dissipate less heat when regulating or converting electricity. That results in better power density and system-level efficiency—particularly at higher voltages.

    Reply
  32. Tomi Engdahl says:

    This is really not good.

    Highly skilled tech workers are becoming a rarity, and companies have tough decisions to make
    https://www.zdnet.com/article/highly-skilled-workers-are-becoming-a-rarity-and-returning-to-the-office-is-unpopular-with-employees-tech-companies-have-tough-decisions-to-make/

    A.Team’s annual Tech Work Report highlights the struggles tech companies are facing in hiring, onboarding, and dealing with hybrid-working models.

    In the ever-evolving employment landscape, tech companies are changing their typical hiring strategies to compensate for the talent lost to the Great Resignation – and have some tough decisions to make when it comes to ordering teams back to the office.

    A.Team’s 2022 Tech Work Report surveyed almost 2,600 tech employees and executives to provide insight into the future of work in the tech industry.

    In the survey, 39% of employers cited product and engineering roles as the most challenging positions to fill. In addition, 62% of respondents said it took them more than four months to find the right talent to fill these vacancies.

    The Great Resignation was identified as a major contributor to companies’ hiring headaches. Of those surveyed, 44% lost a significant amount of their top-performing employees to the Great Resignation.

    To combat the significant loss of talented employees, 80% of surveyed executives said they would hire someone without a college degree to work at their company.

    The notion that tech executives are open to hiring workers without degrees points to a shift in hiring requirements. Three-quarters (67%) of those surveyed felt that the traditional hiring and onboarding process is too long, too pricey, and needs re-imagining.

    Companies across all industries are scrambling to solve the issues that slow and disconnected onboarding brings to the table. Employers that need highly skilled workers – and need them fast – might rush the onboarding process, leaving vulnerabilities in their employees’ confidence, connection to their coworkers, and mental health, the report said.

    Earlier this year, major tech companies including Apple and Meta announced that they would not require college degrees for certain positions, typically positions that are more difficult to fill. These positions include software engineers, technical support, and quality engineers.

    Many companies are turning to upskilling programs to fill their skills requirements: 87% of A.Team’s survey respondents agree that career growth and upskilling programs are vital to elevating their employees’ professional development. Implementing these programs may be the key to increasing employee retention and avoiding a wave of quiet quitting and resignations.

    A more recent workplace shift within the tech industry is the tug of war that companies and employees play when it comes to returning to the office.

    The decision to revoke employees’ choice of remote or hybrid work puts tech companies in a sticky situation. Will they continue to honor the forward-thinking foundations they’ve built their companies on, or will they push traditional corporate values to maintain the status quo?

    Reply
  33. Tomi Engdahl says:

    Kännykkä ei enää kasvata kameramarkkinoita
    https://etn.fi/index.php/13-news/14017-kaennykkae-ei-enaeae-kasvata-kameramarkkinoita

    Parhaimmissa kamerapuhelimissa on jo jopa viisi kameraa. Tämä kehitys on kasvattanut CMOS-kamerakennojen markkinaa jo viimeiset 13 vuotta. Tänä vuonna markkinat laskevat 18,6 miljardiin dollariin eli 7 prosenttia viimevuotista pienemmäksi. Älypuhelin ei enää kasvata markkinoita, vaan kasvu tulee muilta sektoreilta.

    IC Insightsin mukaan CMOS-kuvakennoja toimitetaan markkinoille tänä vuonna 6,1 miljardia kappaletta. Määrä on 11 prosenttia pienempi kuin viime vuonna, jolloin kamerakennojen osuus optoelektroniikan markkinoista oli yli 40 prosenttia.

    Tutkimuslaitoksen mukaan CMOS-anturimarkkina pienenee, koska älypuhelimien ja kannettavien tietokoneiden kysyntä heikkenee. Viimeaikaiset Covid-19-viruksen aiheuttamat Kiinan tuotantolaitosten sulkemiset ja uusien älypuhelimien sisään pakattujen kameroiden määrän kasvun hidastuminen ovat syöneet kasvua. Useimmissa nykypuhelimissa käytetään kolme kameraa, yhtä edessä ja kahta pääkameraa takana.

    Reply
  34. Tomi Engdahl says:

    Future Brings CPU Modules, And The Future Is Now
    https://hackaday.com/2022/09/14/future-brings-cpu-modules-and-the-future-is-now/

    Modularity is a fun topic for us. There’s something satisfying about seeing a complex system split into parts and these parts made replaceable. We often want some parts of our devices swapped, after all – for repair or upgrade purposes, and often, it’s just fun to scour eBay for laptop parts, equipping your Thinkpad with the combination of parts that fits you best. Having always been fascinated by modularity, I believe that hackers deserve to know what’s been happening on the CPU module front over the past decade.

    We’ve gotten used to swapping components in desktop PCs, given their unparalleled modularity, and it’s big news when someone tries to split a yet-monolithic concept like a phone or a laptop into modules. Sometimes, the CPU itself is put into a module. From the grandiose idea of Project Ara, to Intel’s Compute Card, to Framework laptop’s standardized motherboards, companies have been trying to capitalize on what CPU module standardization can bring them.

    There’s some hobbyist-driven and hobbyist-friendly modular standards, too

    An apt demonstration of modular computing upsides being commercially viable, the PC/104 standard has been a staple of industrial computing, in large part because it’s easy to get a replacement motherboard if your old one fails. After all, industrial customers are willing to pay a premium for some degree of modularity, since it means they can get equipment fixed quickly, without losing large amounts of money as their production line is stuck idle. And, when your equipment could use an upgrade due to system requirements rising the way they always do, there’s hardly ever a shortage of PC/104 boards with increased processing power.

    Customers don’t have as much of a sway to make modularity in consumer products everpresent. It makes sense from where we stand nowadays, but it’s sad, and doesn’t have to be this way.

    The Corporate Way To Get It Wrong

    Intel is a giant company manufacturing CPUs, chipsets and all sorts of things that a hobbyist can only dream to one day tinker with. They have both an inordinate amount of resources and a customer base to develop modular solutions, and they’ve been trying to get their own modular, somewhat hobbyist-accessible embedded ideas off the ground, every few years a new one. These ideas have so far been failing, in large part, due to Intel’s own fickle decisions – as many of us somberly familiar with Intel’s Edison and Galileo product lines can attest.

    For instance, in 2017, Intel has unveiled the Compute Card concept – a card with CPU, RAM and storage that you could’ve put in your pocket and plugged into anything. Two years later, they’ve shelved the concept.

    CM4 Form-Factor No Longer Just Theirs

    You’re no doubt familiar with Raspberry Pi Compute Modules, but perhaps, not with all the pin-compatible alternatives. When the Pi 4 came out, one of the questions was – how would the next Compute Module look, given the newly added PCIe interface? Most expected a new generation of a SODIMM-mountable module, and what we got was far from that. Once laments about low-pitch alignment-pin-less connectors died down, the promise of PCIe was too much to pass on, and hackers have come out with a wide array of carrier boards and Compute Module-based hacks. There’s almost too many to cover, but we sure try!

    Of course, there’s nothing exclusive about a pinout+connector+footprint combination of such a SoM (System-On-Module), and the aforementioned myriad of carrier boards is tempting for any designer wise enough to avoid creating a whole new ecosystem. As a result, you have multiple boards with different – Pine64 SOQuartz, Banana Pi BPI-CM4 and Radxa CM3, to name a few. Each has some benefits over the CM4

    Reform The Laptop, CPU Module Ecosystem Comes Free

    If you’ve followed projects like Novena, you’ll know that NXP’s i.MX series processors are one of the most openness-friendly ARM CPUs available. Six years after the Novena, the MNT Reform laptop wisely picked an i.MX8 CPU. However, they didn’t want to develop a complex multi-layer baseboard, and went for a DDR-formfactor i.MX8M-hosting SoM from Boundary Devices – making the Reform’s mainboard all that cheaper and simpler to design. The unique part about the Boundary Devices’ SoM – it’s the most open i.MX8M module out there, fit for a laptop that strives to be as open as possible.

    To reiterate, there’s nothing exclusive about a pinout + connector + footprint combination – and a DDR formfactor module is just a PCB with a set of gold-plated pads at its edge. You can see where this is going, right? MNT Reform’s team developed some custom SoMs and adapters, compatible with the i.MX8 module’s surface-level connectivity. To date, there’s an adapter for the Pi CM4, consequently compatible with three more aforementioned CPU boards, a NXP LS1028A board with half as many CPU cores but twice as much RAM, and even a Xilinx Kintex-7 FPGA-hosting board with talks about a software-synthesized RISC-V CPU ala Precursor.

    What’s more – MNT has recently announced development of the Pocket Reform, a 7″ laptop-shaped companion device.

    Reply
  35. Tomi Engdahl says:

    Pi Shortage – Are These Worthwhile Raspberry Pi Alternatives?
    https://www.youtube.com/watch?v=q_FWsuQwSMk

    If you’ve tried to buy a Raspberry Pi in the past year or so then you’ve probably experienced some level of difficulty in getting one. So in this video, I’m going to try out three Raspberry Pi alternatives that I’ve found online. I’ll be trying some basic media playback, then I’ll try to use the GPIO pins to turn an LED on and off and finally I’ll have a look at the power consumption on each.

    CHAPTERS
    —————————————————
    0:00 Intro
    1:15 Three Selected Boards
    3:00 Orange Pi 3 LTS
    8:21 Khadas VIM2
    14:32 Tinker Board 2S
    18:49 Final Thoughts

    Reply
  36. Tomi Engdahl says:

    https://etn.fi/index.php/13-news/14021-arm-iskee-lujaa-kiinni-datakeskuksiin

    Jokainen tietää, että Arm-arkkitehtuureihin perustuvat prosessorit hallitsevat niin älypuhelimissa kuin pienemmissä sulautetuissa laitteissa. Nyt Arm on esitellyt uusimman piirinsä Neoverse-alustalle. Sen on tarkoitus lopettaa niin X86- kuin RISC-V-prosessorien pyristelyt datakeskuksissa.

    Arm on julkistuksen alla antanut monia raflaaviakin lausuntoja. ”Kunnioitamme kyllä RISC-V-piirejä, mutta ne eivät ole uhka datakeskuksissa”, yhtiö ilmoitti. Silloin kun datamäärä räjähtää käsiin, käyttöön pitää ottaa Demeter-koodinimellä kehitetty Arm Neoverse V2 -prosessorialusta.

    Neoverse on raskaamman laskennan käyttöön jo kaksi vuotta sitten tuotu alusta. Nyt sarjaan on tuotu tehokkain versio eli V2. Se perustuu uuteen ytimeen ja piireillä toteutettuun mesh-tyyppiseen CMN-700-liitäntäverkkoon.

    5G-tukiasemiin uudet Neoverse V2 -prosessorit tuovat sekä nopeamman datankäsittelyn että pienemmän tehonkulutuksen. Tällä voidaan osaltaan taklata yhtä 5G-tukiasemien isoa ongelmaa eli suurta tehonkulutusta.

    Reply

Leave a Comment

Your email address will not be published. Required fields are marked *

*

*