Electronics trends for 2017

 

Chip Market Brightens in 2017. The semiconductor industry may yet have been flat in 2016, but expects it is expected that the electronics industry rebounds in 2017, probably in the first half. Wall Streeter predicts return to 5% growth. Total IC business growth is expected to be around five percents for few years to come.There seems to several promises to this direction, especially in memory business. Chips Execs See Maturing Industry article says that pessimism about immediate revenue and R&D growth is a sign of a maturing industry.

Thanks to both rising prices and volume sales, the memory sector is expected to lead overall semiconductor sales growth. Sales of memory chips will increase 10% next year to a new record high of $85.3 billion, according to the latest report from IC Insights. NAND flash will grow almost as fast at 10% next year. The average annual growth rate for the memory market is forecast to be 7.3% from 2016-2021. Every year we need 5.6% more bits than previous year, and the unit prices are increasing on both DRAM and Flash.

There will be also other growth sectors. The data center will be the fastest growth segment next year, rising 10%, followed by automotive at 9% and communications at 7%Consumer and industrial markets growing at about 4% in line with the overall industry. PCs will be the big drag on 2017, declining 2%.

China Dominates Planned Chip Fabs as more than 40% of front end semiconductor fabs scheduled to begin operation between 2017 and 2020 are in China, a clear indication that China’s long-stated ambition to build a significant domestic semiconductor industry is taking shape.

Trump Win Could Mean Big Questions for Manufacturing as while Trump vowed to keep American manufacturing jobs, he offered little in the way of stated policy other than the promise to punish companies that sent manufacturing job outside the US. Questions about trade also could directly affect US manufacturing. How that plays out is a big unknown.

Europe will try to advance chip manufacturing, but not much results in 2017 as currently  there is almost no leading-edge digital chip manufacturing left in Europe as the local companies have embraced outsourcing of digital semiconductor manufacturing to foundries. The European Commission intends to reconvene a high-level group of European CEOs and executives to exchange views on Europe’s 10/100/20 nanoelectronics and chip manufacturing project and make adjustments as necessary for a wave of European Union investment supposedly starting in 2020. The two most advanced wafer fab locations left in Europe in terms of deep sub-micron miniaturization belong to Intel in Leixlip, Ireland and Globalfoundries in Dresden, Germany.

Smaller geometries are to be taken into use and researched in 2017. Several chipmakers ramp up their 10nm finFET processes, with 7nm just around the corner. As TSMC, GF/Samsung Battle at 7nm the net result is in the course of 18 months chip designers will see at least three variants of 7nm — separate immersion variants from TSMC and Globalfoundries and the EUV version from GF/Samsung. Intel has yet to detail its 7nm node.

At the same time R&D has begun for 5nm and beyond, but Uncertainty Grows For 5nm, 3nm as costs are skyrocketing. Both 5nm and 3nm present a multitude of unknowns and challenges. To put this in perspective, there are roughly two silicon atoms in 1nm of line width in a chip. Etching Technology Advances as atomic layer etch (ALE) moves to the forefront of chip-making technology—finally. TSMC recently announced plans to build a new fab in Taiwan at a cost of $15.7 billion targeted for TSMC’s 5nm and 3nm processes, which are due out in 2020 and 2022.

Moore’s Law continues to slow as process complexities and costs escalate at each node. Moore’s Law is dead, just not in the way everyone thinks. SiFive believes open source hardware is the way forward for the semiconductor industry.  Technological advances keep allowing chips to scale, but the economics are another story – particularly for smaller companies that can’t afford chips in the volumes. The solution, according to San Francisco-based startup, SiFive, is open-source hardware, specifically an architecture developed by the company’s founders called RISC-V (pronounced “risk-five”). Done right SiFive, which was awarded Startup of the Year at the 2016 Creativity in Electronics (ACE) Awards, believes that RISC-V will do for the hardware industry what Linux has done for software. For example 5th RISC-V Workshop Points to Growing Interest in the RISC-V Platform.

Sensors are hot in 2017. These tiny, powerful solutions are creating the interface between the analog and the digital world. Data is everywhere, and sensors are at the very heart of that. While no one really knows what technology’s next “killer application” will be, we are confident that any killer app will rely on sensors.Appliance autonomy promises to make life simpler, but this field has still lots of to improve even after year 2017.

Interface ICs will continue to help simplify high-bandwidth designs while making them more robust and reliable. Application areas that will benefit include automotive, communications, and industrial. Both wired and wireless interface solutions have plenty of applications.

Analog’s status is rising as more sensors and actuators are added into electronic devices, pressure is growing to more seamlessly move data seamlessly back and forth between analog and digital circuitry. IoT pushes up demand for analog content and need for communication between these two worlds will continue to grow. Analog and digital always have fit rather uncomfortably together, and that discomfort has grown as SoCs are built using smaller feature sizes.  The demand for analog silicon has always existed in the embedded space, but the advent of the Internet of Things (IoT) is increasing the demand for connected mixed-signal contentAt 28nm and 16/14nm, standard “analog” IP includes a fair amount of digital content.

It seems that hardware designer is a disappearing resource and software is the king in 2017. It is becoming less and less relevant in what format the device is used in many applications. Card computers are standard products and are found in many different card formats that can be used in very many applications. Embedded development is changing to more and more coding. More software designers that understand some hardware are needed, but it is not easy to leap to move to the hardware to software.

The power electronics market is moving at very fast pace. Besides traditional industrial, renewable, and traction sectors, new applications such as energy-storage systems, micro-grids, and dc chargers are emerging. As the automotive world moves to electric vehicles, this creates challenges for IGBT and SiC-MOSFET ICs, and their associated gate drivers. New packages for high-voltage IGBTs and high-voltage SiC-MOSFETs are introduced.

More custom power distribution  and higher voltages on data center computer systems in 2017. OpenRack and OpenCompute projects are increasing the distribution voltage inside the server itself.  This approach, plus transitioning to new materials such as gallium nitride in the power-conversion systems, can reduce overall power consumption by 20% and increase server densities by 30-40%.”

Power Modules and Reference Designs will be looked at in 2017 even more than earlier in power electronics. The semiconductor and packaging technologies used in power modules have advanced considerably, and the industry is developing modules today that are denser, less expensive, and easier to use. Designers want to rely on power modules to speed up designs and optimize space using smaller, easy-to-use power modules. Module manufacturers hope that  engineers will increasingly choose a module over a discrete design in many applications.

The bi-directional DC/DC converter has been around for a while, but new applications are quickly emerging which necessitate the use of this architecture in so many more systems. Battery back-up systems need bi-directional DC/DC converters. Applications today require better energy efficiency and such systems as green power with solar or wind generation, need storage so that when there is no wind or sun available the electricity flow is not interrupted.

Power supplies need to become more efficient. Both European Union’s (EU) Code of Conduct (CoC) Tier 1 and CoC Tier 2 efficiency standards are to be taken into use. The European Union’s CoC Tier 1 effectively harmonizes the EU with US DoE Level VI and became effective as a voluntary requirement from January 2014, two years ahead of Level VI. Its adoption as an EU Ecodesign rule is currently under review to become law with an implementation date of January 2017. The key difference between the CoC requirements and Level VI is the new 10% load measure, which imposes efficiency requirements under a low-load condition where historically most types of power supplies have been notoriously inefficient. CoC Tier 2 further tightens the no-load and active mode power consumption limits.

During 2016, wireless-power applications started to pick up across many fields in the semiconductor industry, and it will continue to do so. Wireless power will continue to gain traction with increased consumer demand.  Hewlett Packard, Dell, jjPlus, and Witricity have already announced products based on Airfuel standards. And, products based upon the Qi standard will continue to grow at a rapid pace.

 

Other prediction articles:

In Power & Analog 2017 Forecast: What Experts Are Saying article representatives from major players in the semiconductor industry share their predictions for 2017 regarding power modules, wireless power, data converters, wireless sensing, and more.

Looking Ahead to 2017 article tells on to what SIA is focused on working with. “U.S. semiconductor technology should be viewed as a strategic national asset, and the Administration should take a holistic approach in adopting policies to strengthen this vital sector,” the letter says

Hot technologies: Looking ahead to 2017 article collection has EDN and EE Times editors explore some of the hot technologies in 2017 that will shape next year’s technology trends and beyond.

 

1,115 Comments

  1. Tomi Engdahl says:

    Tools To Design CNNs
    If neural networking architectures are simpler than a CPU, why is it so difficult to create them?
    https://semiengineering.com/tools-to-design-cnns/

    Convolutional neural networks are becoming a mainstay in machine learning and artificial intelligence, allowing a network of distributed sensors to collect data and send them to a central brain for processing.

    This is a relatively simple idea in comparison to today’s technology, and the idea of the convolutional neural network has been around for some time. But building them into hardware and software is proving rather daunting, given the complexity of the systems they inhabit.

    “Almost every company is working on it—Google, Intel, Qualcomm, Lattice Semiconductor, NVIDIA,” said Norman Chang, chief technologist for the semiconductor business unit at Ansys. “In terms of doing the architecture, it is simpler than a CPU. So the design of a convolutional network chip I don’t think is more complicated.”

    Those designs also can leverage standard tooling from RTL on down. But there is a mindset change required to make all of this work. Neural networks lend themselves to very high degrees of parallelism, using very wide data paths or very large numbers of cores operating in parallel.

    Reply
  2. Tomi Engdahl says:

    Fab Tool Sales Show Signs of Coming Back to Earth
    http://www.eetimes.com/document.asp?doc_id=1332207&

    Billings for North American semiconductor manufacturing equipment firms increased on a year-over-year basis for the 10th consecutive month in July, but declined on a sequential basis, according to the SEMI trade association.

    “We observed softening in the equipment billings in July following the strong surge in the first half of the year,” said Ajit Manocha, president and CEO of SEMI, in a press statement.

    The three-month rolling average for equipment billings in July totaled $2.27 billion, down 1.4 percent compared with June, SEMI said. The figure was up 32.8 percent compared with July 2016 billings of $1.71 billion.

    Billings have been up at least 20 percent year-over-year in every month since last November as spending by chip makers on capacity increases and migration to new technology nodes sets the stage for what is shaping up to be the biggest year ever in the semiconductor equipment industry.

    Reply
  3. Tomi Engdahl says:

    Magnetic Skyrmions Hold Promise for Next-Gen Memory Devices
    http://www.eetimes.com/document.asp?doc_id=1332203&

    Researchers at Singapore’s Agency for Science, Technology and Research (A*STAR) and Nanyang Technological University (NTU) have created a thin film material that allows them to control the size and density of magnetic skyrmions — a critical milestone in the creation of a skyrmion-based memory device.

    Skyrmions were theorized to exist in 1962 by British physicist Tony Skyrme, explained Anjan Soumyanarayanan, lead author of the paper and one of the A*STAR researchers behind the discovery. Magnetic skyrmions were first predicted in 1989 and discovered in 2009 at very low temperatures (-250 °C) as crystalline materials.

    “It’s really cold and pretty much unusable,” Soumyanarayanan said.

    Since then there has been considerable excitement over their use in memory devices, especially after the discovery of room temperature skyrmions in 2013 through sequential stacking of metals, he said. “That’s what really changed the game,” he added.

    The stability of skyrmions are part of what makes them promising. As with many emerging memory technologies, the potential is a device that can hold more information while using less power. “They’re very small, and very stable,” Soumyanarayanan said.

    He added that the potential is not only smaller non-volatile memory devices, but smaller by an order of magnitude. “Skyrmons could impact the road map for a few decades,” he said.

    In a conventional ferromagnet, the magnetic moments, or spins, are all aligned parallel to each other to form a uniformly magnetized state of arbitrary size. In contrast, explains Soumyanarayanan, a skyrmion is a finite sized spin structure.

    They behave like nanometer-scale magnetic particles that can be seen with magnetic microscopes. They can self-organize into ordered arrays, or lattices. They can be singly created, moved, and deleted with electrical currents. “They can be thought of as analogous to game pieces on a checkerboard,” he said.

    Reply
  4. Tomi Engdahl says:

    Samsung to Invest $7 Billion in China Fab
    http://www.eetimes.com/document.asp?doc_id=1332209&

    Samsung will invest $7 billion over a three-year period to ramp up a Chinese fab to expand production of NAND flash memory that’s in strong demand for smartphones and other mobile devices.

    The investment is for a new fab in Xi’an that would be the second chip facility at the northern China site. On Monday (Aug. 28), Samsung approved $2.3 billion of the expected investment of $7 billion, according to reports by Reuters and Bloomberg that said the South Korea-based chipmaker was their news source.

    The company has been investing aggressively as industry-wide capital spending is expected to soar by 20 percent in 2017, largely driven by Samsung, according to market watcher IC Insights. The key driver behind strong growth this year has been the memory chip segment.

    Samsung’s full-year 2017 capital expenditures could range from $15 billion to $22 billion. If Samsung spends $22 billion this year, total semiconductor industry capex could reach $85.4 billion, representing a 27 percent increase over the $67.3 billion the industry spent in 2016, IC Insights said.

    Reply
  5. Tomi Engdahl says:

    Why Memory Prices Are Heating Up
    http://www.eetimes.com/author.asp?section_id=36&doc_id=1332200&

    The issue of increasing memory prices is more complicated than many people think.

    DRAM and NAND prices are going up and projected to rise further, according to market analysts. Many people perceive the current memory market situation as a temporary imbalance between supply and demand. Or, they expect the market to settle when the manufacturing of 3D NAND flash reaches maturity. However, In case of DRAM market, no one knows when DRAM supplies will improve.

    Observing the demand, although some market segments are growing, there are no killer applications or booming market segments. Therefore, the problem originates from the supply side.

    According to Micron, DRAM bit growth is expected to be 15 to 20 percent in 2017 (noted below), the lowest bit growth in the last 20 years. Such small bit growth comes from DRAM scaling limitations. There has not been any news regarding DRAM scaling for a while.

    When bit growth is below 45 percent, it is a seller market. Thus, the DRAM oligopoly, slow bit growth, and sluggish fab expansion results in a long-term, tight supply. Ultimately, DRAM prices could increase without any improvements in supply.

    There is extreme competition in the NAND market. Based on expectations that 3D NAND will significantly improve productivity, all NAND vendors invested billions of dollars in 3D NAND manufacturing. Therefore, oversupply was expected a while ago. However, this expectation proved false. 3D NAND had been more difficult to fabricate than previously thought. Currently, a few NAND vendors are struggling to ship 3D NAND.

    As discussed, high memory prices are not simply due to the imbalance of supply and demand anymore. It will be very difficult to see a cool down of memory prices from now on, because such high memory prices come from scaling limitations of memory devices. Ironically, memory vendors are making big money based on these memory scaling limitations. From the top five semiconductor ranking, three of them are memory vendors in the first half of 2017. SK Hynix and Micro, for example, heavily depend on DRAM because 75 percent and 65 percent of their revenue comes from the DRAM market, respectively.

    For the time being, buyers could control the memory price and memory products have been disregarded as commodity. Now, it is a golden age for memory vendors and high memory prices will become a burden for buyers.

    Reply
  6. Tomi Engdahl says:

    Wide Input Voltage Inductorless Buck-boost Regulator
    https://www.eeweb.com/company-news/ixys/wide-input-voltage-inductorless-buck-boost-regulator

    Allegro’s A4480 incorporate a unique multi-mode charge pump pre-regulator, followed by a 5V LDO. This enables operation with input voltages from 3.5 to 28 V (with 40V protection), while maintaining a 5 V output voltage. Compared to traditional LDO solutions, the efficiency across input range is improved, and VIN

    The enable pin (ENB) is rated to operate at up to 40 V, so it can be connected directly to VIN (e.g., vehicle battery).

    Reply
  7. Tomi Engdahl says:

    Silicon Development Taking on Larger Role in Europe
    http://www.eetimes.com/author.asp?section_id=36&doc_id=1332210&

    August is a good time for business in Europe, as activity doesn’t start ramping up until September and the trade show cycle picks up again in the fall.

    Hires, fires, and mergers are done with a minimum of noise and disruption, as a lot of people are away from their desks.

    For example, Sondrel (www.sondrel.com), a system-to-silicon IC consultancy, created some buzz saying it would be hiring as many as 100 new electronic engineers in Europe — an investment of as much as ten million euros (about $12 million) — over the next three years to fuel the company’s aggressive expansion. Recently the company also bought IGMWorks from Imagination Technologies to perform system-on-chip design and software integration support.

    Silicon development in Europe is taking on a larger role, as pressures from market opportunities to a decline in manufacturing development in other regions foment increased business activity on the mainland. Organizations such as the European Cluster Collaboration Platform (which is holding the International Women4Energy Conference in Hospitalhof, Stuttgart, Germany on Dec. 6) and Silicon Europe, one of the 12 European MNE clusters, provide the needed communication forums between research and academia and the public authorities and industry figures behind technology development (www.clustercollaboration.eu).

    Reply
  8. Tomi Engdahl says:

    Integrated Photonics
    https://semiengineering.com/integrated-photonics/

    Experts at the Table, part 1: Are we there yet? Where is the demand coming from and which types of product will drive innovation?

    E: For readers who are not actively working in this area, can you provide a brief summary of what Integrated Photonics means to you.

    Korhost: Integrated photonics has been around for a long time. It is mainly applied today for long-haul telecommunications systems based on Indium Phosphide. In recent years, lots more R&D and product development has been put into integrated photonics solutions for shorter distances — data center communications, where links are created using silicon as a base material. With the promise of being able to create integrated photonics circuits on a silicon platform, the interest in this technology has been growing steadily. From a maturity standpoint, there are many applications served by integrated photonics in long-haul telecommunications systems, and what we see coming is real products for the data center and beyond.

    Lamant: As an EDA provider, we started to hear from several major customers about two or three years ago. They were asking us to help them, saying ‘We can’t do it anymore and we need to move from lab research into production and have it work with our normal design teams’

    De Vries: From our perspective it has been one of the bigger growth areas, where we see traditional photonics companies expanding their capabilities. They have done a number of things associated with photonics, and we have started to see integrated photonics becoming an emerging technology.

    Optical communications for data center interconnect is the big new application where there is promise, and a number of large-scale organizations are acquiring technology to be able to commercialize it. It is really only the beginning of that, and there are a lot of places where it will extend farther—deeper integration, where we will see architectures for changing the way semiconductor devices are put together, the connectivity between them, processing in memory, board-level optical interconnect to alleviate bandwidth and power constraints.

    Reply
  9. Tomi Engdahl says:

    Stanford Researchers Pursue Ultrathin, Low-Power Semiconductors
    http://www.powerelectronics.com/alternative-energy/stanford-researchers-pursue-ultrathin-low-power-semiconductors?NL=ED-003&Issue=ED-003_20170830_ED-003_310&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=12714&utm_medium=email&elq2=5d78de80bb5d473e9b40899d57d8f8d9

    A basic research team from Stanford University seeks non-silicon semiconductors with unique size and power characteristics for the next generation of electronic systems.

    Semiconductor R&D is a continuing process that looks for new, improved technologies and devices. This process follows along the same lines described by the American Chemical Society (ACS) for three main activities:

    Basic research ˗ projects that do not have a specific commercial objective, but evolve into applied research as they uncover new materials or solutions to problems.
    Applied research ˗ projects that aim to discover new knowledge related to a specific commercial objective.
    Development ˗ work that draws on existing research results and is directed specifically toward the creation of new and/or improved products and processes.

    Silicon’s Strengths

    Silicon has several qualities that have led it to become the bedrock of electronics, Pop explained. One is that it is blessed with a very good “native” insulator, silicon dioxide (or, in plain English, silicon rust). Exposing silicon to oxygen during manufacturing gives chipmakers an easy way to isolate their circuitry. Other semiconductors do not “rust” into good insulators when exposed to oxygen, so they must be layered with additional insulators, a step that introduces engineering challenges. The diselenides tested by the Stanford group formed this elusive, yet high-quality insulating rust layer when exposed to oxygen.

    Not only do both ultrathin semiconductors rust, they do so in a way that is even more desirable than silicon. They form what are called “high-K” insulators, which enable lower power operation than what is possible with silicon and its silicon oxide insulator.

    More Work to Do

    There is much work ahead. First, Mleczko and Pop must refine the electrical contacts between transistors on their ultrathin diselenide circuits. “These connections have always proved a challenge for any new semiconductor, and the difficulty becomes greater as we shrink circuits to the atomic scale,” Mleczko said.

    Reply
  10. Tomi Engdahl says:

    Littelfuse Buys IXYS for $750 Million
    http://www.electronicdesign.com/power/littelfuse-buys-ixys-750-million?NL=ED-003&Issue=ED-003_20170830_ED-003_310&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=12714&utm_medium=email&elq2=5d78de80bb5d473e9b40899d57d8f8d9

    Littelfuse, a major supplier of circuit protection devices, paid $750 million for IXYS, a power chipmaker focused on medium and high power control devices for industrial, communications, and medical applications.

    Littelfuse said that the deal, announced on Monday, would bolster its sales of power electronics for electric cars and factory equipment. The deal also gives Littelfuse expertise in silicon carbide semiconductors, which handle higher voltages and switching speeds that normal silicon.

    The move dovetails with its acquisition of TE Connectivity’s circuit protection business, which closed last year for $350 million. In March, Littelfuse invested $15 million in Monolith Semiconductor, a start-up that sells power diodes based on silicon carbide – also known as SiC – for electric vehicles and renewable energy applications.

    Littelfuse estimates that it will generate around $1.5 billion in annual revenue

    Reply
  11. Tomi Engdahl says:

    Some positive news: LG, Hitachi, NEC charged $65m in li-ion battery price fixing shocker
    L-ion kings to cough up profits – it’s the circuit, er, circle of life
    https://www.theregister.co.uk/2017/08/30/lg_hitachi_nec_65m_battery_price_fixing/

    Three battery manufacturers agreed to settle a lawsuit that claimed they conspired to fix the price of lithium-ion battery cells for more than a decade.

    LG, Hitachi, and NEC will join Sony (who previously settled) in a deal to pay out $64.65m [PDF] to Americans who purchased any device containing one of their cylindrical li‑ion battery cells.

    The cells were sold between January 1, 2000 and May 31, 2011 and were used in everything from laptop PCs to video cameras and power tools.

    The class-action suit had accused the four suppliers of meeting in 1999 and agreeing to work together to inflate the price of the L‑ion battery cells they manufactured and sold to OEMs.

    For more than a decade, it was argued, the companies had regular meetings and communications where they decided on the set price they would charge for battery cells and packs. This, in turn, allowed them to avoid competing with one another on prices and make a premium on the hardware they did sell.

    The complaint, led by named plaintiff Flextronics International, alleges that the battery makers netted $367,192,699 in ill-gotten profits from their conspiracy. The $64.65m payout in the settlement amounts to just 17 per cent of the total alleged damages.

    Reply
  12. Tomi Engdahl says:

    IP Challenges Ahead
    https://semiengineering.com/ip-challenges-ahead/

    Part 2: For the IP industry to remain healthy it has to constantly innovate, but it’s getting harder.

    Reply
  13. Tomi Engdahl says:

    Is Design Innovation Slowing?
    https://semiengineering.com/is-design-innovation-slowingis-design-innovation-slowing/

    The answer appears to be a resounding no, but innovation isn’t necessarily happening in the same places as in the past.

    Reply
  14. Tomi Engdahl says:

    Is Design Innovation Slowing?
    https://semiengineering.com/is-design-innovation-slowingis-design-innovation-slowing/

    The answer appears to be a resounding no, but innovation isn’t necessarily happening in the same places as in the past.

    “Integration used to be a driver for increasing the functionality of silicon,” Teich said. “Increasingly, it will be used to incorporate more features of an entire system on top of the hardware system.”

    Teich talked about IP becoming complicated. He explained that the processes needed to produce optimal memory, or radio, or logic are becoming different enough that we are moving from system on chip (SoC) to system in package (SiP). “For SoCs, where you are integrating everything on the same die, access to IP is important. For SiPs what is important are partnerships and packaging technology. What we are seeing is a lot of innovation on the packaging front and a lot of folks trying partnerships so they have access to somebody else’s state-of-the-art known good die. Trying to integrate everything onto one die every time you turn the crank doing a system design is a losing proposition in terms of design engineering resources.”

    Reply
  15. Tomi Engdahl says:

    Why Memory Prices Are Heating Up
    http://www.eetimes.com/author.asp?section_id=36&doc_id=1332200&

    The issue of increasing memory prices is more complicated than many people think.

    DRAM and NAND prices are going up and projected to rise further, according to market analysts. Many people perceive the current memory market situation as a temporary imbalance between supply and demand. Or, they expect the market to settle when the manufacturing of 3D NAND flash reaches maturity. However, In case of DRAM market, no one knows when DRAM supplies will improve.

    Observing the demand, although some market segments are growing, there are no killer applications or booming market segments. Therefore, the problem originates from the supply side.

    According to Micron, DRAM bit growth is expected to be 15 to 20 percent in 2017 (noted below), the lowest bit growth in the last 20 years. Such small bit growth comes from DRAM scaling limitations.

    Reply
  16. Tomi Engdahl says:

    DARPA’s ICECool Chills 3-D Stacks
    IBM/Georgia Tech liquid dielectric ‘embeds’ heat management
    http://www.eetimes.com/document.asp?doc_id=1332227&

    The Defense Advanced Research Projects Agency’s Intrachip/Interchip Enhanced Cooling (ICECool) program, which teamed IBM and the Georgia Institute of Technology to solve the liquid cooling problem for 3-D chip stacks, has yielded an approach that uses an insulating dielectric refrigerant instead of water. Researchers who worked on the prototype say the approach will lower the cost of cooling supercomputer CPUs by pumping refrigerants through microfluidic on-chip channels and will cool the interior of even the thickest 3-D chip stacks by safely running refrigerants between each die.

    “Our prototype was an eight-core based Power7 supercomputer with microfluidic channels etched in its backside to remove heat, sitting alongside an air-cooled Power7 supercomputer for comparison,”

    Reply
  17. Tomi Engdahl says:

    Waiting Game Could Strengthen Toshiba’s Hand
    http://www.eetimes.com/author.asp?section_id=40&doc_id=1332230

    Would a delisting from the Tokyo Stock Exchange really be the end of the world?

    Thursday’s self-imposed deadline for Toshiba Corp. to sell its semiconductor business came and went with no deal. Toshiba’s inability to finalize an agreement with the consortium led by Western Digital or any of the other bidders still in the hunt has heightened fears that Toshiba won’t be able to sell the unit in time to avoid being delisted.

    Despite Toshiba’s obvious trepidation over this possibility, maybe it wouldn’t be the worst thing in the world. A delisting would remove the pressure to close a deal, enabling Toshiba to hold out for a better price and better terms.

    On the other hand, with its future ownership in limbo, Toshiba Memory has no doubt had to delay some decisions about long-term planning and investment.

    The memory chip business is not for the faint of heart. Even while enjoying the best year in the NAND business for some time, NAND vendors are busy girding for the battles to come — investing billions of dollars to ramp up capacity and bring more 3D NAND capacity online.

    Reply
  18. Tomi Engdahl says:

    New Magnetic Sheets Silence the Noise
    http://www.mwrf.com/materials/new-magnetic-sheets-silence-noise?NL=MWRF-001&Issue=MWRF-001_20170905_MWRF-001_747&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=12762&utm_medium=email&elq2=c22701f89c9a4c77ac4528c7961e4711

    These new magnetic sheets can be incorporated into the design of today’s products, such as smartphones, tablets, and notebooks, to defeat noise problems.

    Today’s products, such as smartphones, have become smaller and thinner while still offering multi-functional performance. One example of multi-functional capability is a product that contains both near-field communications (NFC) and wireless charging technology. Thus, it is even more essential that noise is adequately suppressed in today’s electronic products. One method of suppressing noise is by using magnetic sheets.

    TDK Corp. is one company that offers magnetic sheets for noise suppression. The company recently expanded its Flexield series by introducing the new IFM10M noise suppression sheets, which can be used in a number of products

    “The magnetic sheets are used in various types of electronic devices, such as smartphones, tablets, notebooks, stylus pens, point-of-sale (POS) systems, and industrial terminals.”

    The IFM10M magnetic sheets feature a laminated design, consisting of a magnetic layer and a copper-plated layer. With a total thickness of 0.04 mm, the new IFM10M sheets are 60% thinner than existing magnetic sheets with a similar magnetic layer thickness, according to the company.

    The thin size of the IFM10M noise suppression sheets allows them to be well suited for slim products, such as smartphones, tablets, notebooks, and stylus pens. Essentially, the sheets are well equipped to be installed in dense environments.

    In addition, the IFM10M sheets are flexible, meaning they can be cut to the desired size and shape and installed in very small gaps.

    Dual-Layer Materials Suppress EMI Noise
    http://www.mwrf.com/technologies/dual-layer-materials-suppress-emi-noise

    These tapes and sheets provide EMI suppression from RF through microwave frequencies for noise suppression in a variety of industries.

    The HOZOX Electromagnetic Interference (EMI) Absorption Tape and Sheets provide a flexible means for controlling EMI radiation noise in a wide range of electronic products, from consumer through medical and commercial goods. These EMI-absorbing materials employ a unique dual-layer design to optimum EMI noise suppression. A magnetic layer absorbs lower-frequency EM energy while a conductive layer with dielectric resin addresses higher-frequency EM energy. The materials boast a thin form factor and are available in two different tape formats as well as an A4 sheet format, which can be easily die-cut to specific configurations.

    Reply
  19. Tomi Engdahl says:

    Inkjet-printed nanoparticle ink can produce security holograms on an industrial scale
    http://www.laserfocusworld.com/articles/2017/08/inkjet-printed-nanoparticle-ink-can-produce-security-holograms-on-an-industrial-scale.html?cmpid=enl_lfw_lfw_enewsletter_2017-09-05

    Researchers at ITMO University (St. Petersburg, Russia) have unveiled a new approach to printing luminescent structures based on nanoparticle ink. The unique optical properties of the ink were achieved by means of europium-doped zirconia.1 Particles of this material were proven to be useful in manufacturing luminescent holographic coatings with a high degree of protection. Notably, the developed approach enables the fabrication of custom holograms (including security holograms) by means of a simple inkjet printer.

    These luminescent nanoparticles can also be used to produce biosensors and to visualize cancer cells.

    “Europium-doped zirconium dioxide is a material that has been studied and used by researchers all over the world for decades,” says Alexandr Vinogradov, co-author of the research and head of ITMO University’s Biochemistry Cluster. “However, our research is novel in that it uses the material to protect the surface of rainbow holograms.”

    Nanoparticle Ink Lets Regular Inkjet Printers Produce Glowing Holograms
    http://news.ifmo.ru/en/science/new_materials/news/6884/

    Researchers at ITMO University have unveiled a new approach to printing luminescent structures based on nanoparticle ink. The unique optical properties of the ink were achieved by means of europium-doped zirconia. Particles of this material were proven to be useful in manufacturing glowing holographic coatings with a high degree of protection. Notably, the developed approach enables the fabrication of custom holograms by means of a simple inkjet printer. The results of the research were published in RSC Nanoscale.

    Reply
  20. Tomi Engdahl says:

    Polymer Tantalum Capacitors Toughen Up to Meet Automotive Demands
    http://www.electronicdesign.com/automotive/polymer-tantalum-capacitors-toughen-meet-automotive-demands?NL=ED-004&Issue=ED-004_20170905_ED-004_513&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=12774&utm_medium=email&elq2=10481db3d87f47d7871c83a43aefd873

    New materials and manufacturing processes enable polymer tantalum capacitors to pass automotive AEC-Q200 stress tests as well as electrical tests specified by individual car manufacturers.

    Today’s cars increasingly depend on electronic equipment such as infotainment and advanced driver assistance systems (ADAS) to meet important safety standards and customer demands. Although more electronic modules are needed on-board, little, if any, extra space is available to house them, so smaller and more space-efficient modules are always sought after.

    As far as ICs are concerned, Moore’s law reductions in process geometry and the evolution of application-specific devices assist miniaturization by reducing the size and number of components on circuit boards. On the other hand, miniaturizing the many passive components, such as the capacitors needed on power-supply lines or at dc-dc converter inputs/outputs, is a tougher challenge.

    Reply
  21. Tomi Engdahl says:

    Embedded FPGA Supplier Joins TSMC IP Alliance
    http://www.eetimes.com/document.asp?doc_id=1332241&

    FPGA intellectual supplier Flex Logix Technologies Inc. announced it joined TMSC’s IP Alliance Program, making Flex Logix’ EFLX embedded FPGA IP and software tools available for TSMC 16FFC/FF+, TSMC 28HPM/HPC and TSMC 40ULP/LP processes.

    Reply
  22. Tomi Engdahl says:

    Semiconductor Sales Rise for 12th Straight Month
    http://www.eetimes.com/document.asp?doc_id=1332246&

    Semiconductor sales continued to boom through July, increasing on an annual basis for the 12th consecutive month, according to the Semiconductor Industry Association (SIA) trade group.

    Global chip sales for July totaled $33.6 billion on a three-month average basis, up 24 percent from July 2016, according to the SIA, which reports sales figures compiled by the World Semiconductor Trade Statistics (WSTS) organization. The figure also represents a 3.1 percent increase from June.

    The July sales figures extended what has been a very strong year for semiconductor revenue, led by strong increases in average selling prices of memory chips amid a shortage in that category. In its most recent forecast issued last month, WSTS is projecting that chip sales will increase 17 percent this year to reach $397 billion.

    Chip sales for July were up at least 17 percent year-to-year in every region, led by the Americas at 36 percent and China at 24 percent, according to the WSTS data.

    Reply
  23. Tomi Engdahl says:

    EU Regulators Again Halt Qualcomm-NXP Investigation
    http://www.eetimes.com/document.asp?doc_id=1332250&

    European Union regulators have for a second time put the brakes on their investigation into the proposed $38 billion acquisition of NXP Semiconductors by Qualcomm.

    The European Commission said in a posting on its website that the investigation was suspended on Aug. 17. The Reuters news service reported Wednesday (Sept. 6) that Qualcomm and NXP failed to supply regulators with key information about the proposed merger and that the investigation would resume after the information was received.

    Reply
  24. Tomi Engdahl says:

    Modeling of Wide Band Gap Devices for Accurate Simulation
    http://www.eetimes.com/author.asp?section_id=36&doc_id=1332249&

    Creating accurate models for Wide Band Gap (WBG) power devices representing their complete behavior is vital to the system simulation for ensuring that the simulation results are highly accurate.

    Wide Band Gap (WBG) devices such as Silicon Carbide (SiC) and Gallium Nitride (GaN) are becoming popular. Thanks to their promising features that surpass typical silicon devices and most importantly, the advances in manufacturability of these devices. The wide band gap of these devices allows for higher blocking voltage capabilities and their use in high voltage applications. These devices also exhibit lesser ON resistance and faster switching times. The devices have high thermal conductivity allowing for simpler heat management and can work at higher temperatures.

    The wide band gap devices find their usage in applications that operate at higher voltage ranges, higher switching speeds and higher power control with reduced switching losses. An exponential growth in usage of the SiC and GaN devices is forecasted in the next few years, particularly in the fields of automotive and renewable power generation.

    The higher switching frequencies and high voltage withstanding capabilities calls for revisited gate drivers and designs to curb the imminent Electromagnetic Interference (EMI).

    Assimulation of the systems becomes an integral part of product design process the use of accurate models form the backbone to estimate the system’s performance precisely, which requires that the power devices are accompanied with models that emulate the device behavior comprehensively. Hence, creating accurate models for Wide Band Gap (WBG) power devices representing their complete behavior is vital to the system simulation for ensuring that the simulation results are highly accurate.

    Reply
  25. Tomi Engdahl says:

    Analog Introduces LTC3779 Sychronous Buck-Boost Controller
    https://www.eeweb.com/news/analog-introduces-ltc3779-sychronous-buck-boost-controller

    Analog Devices, Inc., which recently acquired Linear Technology Corporation, announces the LTC3779, a high efficiency (up to 99%) 4-switch single inductor synchronous buck-boost DC/DC controller that operates from input voltages above, below or equal to the regulated output voltage. Its 4.5V to 150V input voltage range is designed to operate from a high input voltage source or from an input that has high voltage surges, eliminating the need for external surge suppression devices, ideal for transportation, industrial and military applications.

    The output voltage can be set from 1.2V to 150V at output currents up to 10s of amps, depending on the choice of external components. The LTC3779’s powerful 1.3Ω N-channel MOSFET gate drivers can be adjusted from 6V to 10V, enabling the use of logic-level or standard threshold MOSFETs.

    Output power up to 500W can be delivered with a single device. Higher power can be achieved when multiple circuits are configured in parallel. The operating frequency is selectable between 50kHz and 600kHz, and can be synchronized to an external clock over the same range. The LTC3779 employs a proprietary current mode control architecture for constant-frequency operation in buck or boost mode. The user can select either forced continuous mode or discontinuous mode to maximize light load efficiency.

    150V Synchronous Buck-Boost Controller Eliminates Surge Protection Devices & Delivers Up to 99% Efficiency
    http://www.linear.com/solutions/7973

    Reply
  26. Tomi Engdahl says:

    Samtec Enhances Direct Connect™ Cable Systems With New DCH Series
    https://www.eeweb.com/news/samtec-enhances-direct-connect-cable-systems-with-new-dch-series

    Samtec Enhances Direct Connect™ Cable Systems With New DCH Series
    Posted Aug 31st 2017

    Source: Samtec
    Source: Samtec

    Samtec proudly announces the release of the Direct Connect™ Horizontal (DCH) High-Speed Press-Fit Twinax Cable Assembly. This new solution expands Samtec’s Direct Connect™ Cable Systems targeted for cost-effective, high-performance flyover or co-planar, right angle and parallel board-to-board applications.

    Samtec DCH series features a high-retention, press-fit termination offering a direct connection to the PCB. The connectorless design eliminates the need for traditional board-level connectors and transition cards leading to simplified BOMS and reduced system costs.

    The DCH series combines Samtec 30AWG ultra-low skew EyeSpeed® twinax cable with stitched ground pins to offer improved signal integrity and optimized differential pair signal routing. Transmit and receive signals are easily isolated in either four-pair or eight-pair options. The DCH Flyover system has bandwidth well beyond 28 Gbps NRZ/ 56 Gbps PAM4, supporting IEEE CAUI-4, OIF VSR, PCIe® Gen3 and Gen4 applications, among others.

    Reply
  27. Tomi Engdahl says:

    The Limits Of IP Reuse
    The old model of write once, integrate many times doesn’t always work. Here’s why.
    https://semiengineering.com/the-limits-of-ip-reuse/

    The basic business proposition for third-party IP is that it’s cheaper, faster, and less problematic to buy rather than build. But things haven’t exactly worked out according to plan, either for companies that license IP or those that develop it.

    For IP licensees, just keeping track of an endless series of updates is becoming unwieldy. Complex designs often include multiple builds of dozens of IP blocks, particularly at advanced process nodes.

    And for IP developers, demand for more customized IP is transforming the business from “write-once, use everywhere,” to “write once, modify every time.”

    Reply
  28. Tomi Engdahl says:

    Combining CMOS IC And MEMS Design For IoT Edge Devices
    https://semiengineering.com/combining-cmos-ic-and-mems-design-for-iot-edge-devices/

    Using a mask-forward design flow to incorporate MEMS and electronics on a single die.

    Creating a sensor-based IoT edge device is challenging, due to the multiple design domains involved. But, creating an edge device that combines the electronics using the traditional CMOS IC flow and a MEMS sensor on the same silicon die can seem impossible.

    For many years, Tanner has provided customers the ability to interweave MEMS design into this flow, supporting a top-down MEMS IC flow:

    IoT edge design requires that analog, digital, RF, and MEMS design domains are designed and work together, especially if they are going on the same die. The design team needs to capture a mixed analog and digital, RF, and MEMS design, layout the chip, and perform both component and top-level simulation. Designing the electronics and MEMS on a single die include these interesting points

    Reply
  29. Tomi Engdahl says:

    Semiconductor Sales Rise for 12th Straight Month
    http://www.eetimes.com/document.asp?doc_id=1332246&

    Semiconductor sales continued to boom through July, increasing on an annual basis for the 12th consecutive month, according to the Semiconductor Industry Association (SIA) trade group.

    Global chip sales for July totaled $33.6 billion on a three-month average basis, up 24 percent from July 2016, according to the SIA, which reports sales figures compiled by the World Semiconductor Trade Statistics (WSTS) organization. The figure also represents a 3.1 percent increase from June.

    Reply
  30. Tomi Engdahl says:

    Testing Automotive Radar Brings mm-Wave Challenges
    http://www.mwrf.com/test-amp-measurement-analyzers/testing-automotive-radar-brings-mm-wave-challenges?code=UM_Classics09117&utm_rid=CPG05000002750211&utm_campaign=12844&utm_medium=email&elq2=df3616a93b50435897bb2b6a5535cd51

    The growing number of 77-GHz automotive radar systems has put pressure on RF/microwave test-and-measurement-equipment manufacturers to develop cost-effective measurement solutions for these systems.

    Reply
  31. Tomi Engdahl says:

    Hardware for Deep Neural Networks
    https://hackaday.com/2017/09/08/hardware-for-deep-neural-networks/

    In case you didn’t make it to the ISCA (International Society for Computers and their Applications) session this year, you might be interested in a presentation by [Joel Emer] an MIT professor and scientist for NVIDIA. Along with another MIT professor and two PhD students ([Vivienne Sze], [Yu-Hsin Chen], and [Tien-Ju Yang]), [Emer’s] presentation covers hardware architectures for deep neural networks.

    The presentation covers the background on deep neural networks and basic theory. Then it progresses to deep learning specifics. One interesting graph shows how neural networks are getting better at identifying objects in images every year and as of 2015 can do a better job than a human over a set of test images. However, the real key is using hardware to accelerate the performance of networks.

    Hardware Architectures for
    Deep Neural Networks
    http://www.rle.mit.edu/eems/wp-content/uploads/2017/06/ISCA-2017-Hardware-Architectures-for-DNN-Tutorial.pdf

    Reply
  32. Tomi Engdahl says:

    Anritsu, LeCroy Promote Partnership at EDI CON 2017
    http://www.mwrf.com/test-measurement/anritsu-lecroy-promote-partnership-edi-con-2017?NL=MWRF-003&Issue=MWRF-003_20170911_MWRF-003_648&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=12866&utm_medium=email&elq2=02b55fe2e451451fa1064420a7a85b36

    The companies’ integrated system is suitable for component, IC, and system-level testing, including for automated receiver and transmitter testing and communications link verification.

    After announcing a partnership to provide an industry-leading PCI Express 4.0 (PCIe Gen4) test solution several weeks ago, Anritsu Co. and Teledyne LeCroy will be exhibiting separately at the second annual EDI CON 2017 conference and exhibition. The solution for testing to speeds of 32 Gb/s was created by integrating the Anritsu Signal Quality Analyzer (SQA) MP1900A bit-error-rate tester (BERT) with the LeCroy LabMaster 10Zi-A oscilloscope and LeCroy QPHY-PCIe4-Tx-Rx software. The integrated system is suitable for component, IC, and system-level testing, including for automated receiver and transmitter testing and communications link verification.

    Reply
  33. Tomi Engdahl says:

    Wide-Bandgap Developments: What You Need To Know
    http://www.electronicdesign.com/analog/wide-bandgap-developments-what-you-need-know?NL=ED-003&Issue=ED-003_20170911_ED-003_730&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=12874&utm_medium=email&elq2=68c69c0a95b14e789e404e1a65f22128

    The market adoption of SiC and GaN power devices is accelerating because many semiconductor companies are incorporating these materials into a wide range of trending applications as power packaging technologies improve.

    Reply
  34. Tomi Engdahl says:

    Fast ADC Facilitates Direct RF Sampling at Higher Frequencies
    http://www.electronicdesign.com/analog/fast-adc-facilitates-direct-rf-sampling-higher-frequencies?NL=ED-003&Issue=ED-003_20170911_ED-003_730&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=12874&utm_medium=email&elq2=68c69c0a95b14e789e404e1a65f22128

    Sponsored by: Texas Instruments. Simple in nature, the new RF-sampling receiver architecture yields major benefits at VHF, UHF, and microwave levels.

    Benefits of RF Sampling

    The simpler receiver signal chain offers some significant benefits. Fewer stages generally mean lower cost and better noise figure. Higher RF gain may be needed to offset the gain of the mixer and any IF amplifier, but the overall noise figure will be improved. An image problem does not exist.

    The local-oscillator feedthrough and spur issues are also vanquished. It eliminates a local oscillator, but is essentially replaced by the ADC sampling clock. This architecture typically offers greater flexibility for frequency planning in design, a modern necessity because of the wide range of potential interference sources and targets.

    A super-fast ADC potentially opens up a lot of application doors, particularly in RF communications. Some examples are software-defined radios (SDRs) of all types, satellite communications, radar, communications test equipment, forthcoming 5G radios, and digital sampling oscilloscopes.

    Reply
  35. Tomi Engdahl says:

    Fab Tool Sales Again Set Quarterly Record
    http://www.eetimes.com/document.asp?doc_id=1332269&

    Worldwide billings for semiconductor manufacturing equipment hit an all-time high in the second quarter, breaking a record that had been set in the first quarter, as the industry remains on track to post its best year ever, according to the SEMI trade association.

    Second quarter equipment billings totaled $14.1 billion, shattering the record of $13.08 billion set in the first quarter by more than $1 billion, or 8 percent, SEMI (San Jose, Calif.) said. Second quarter equipment billings were up by 35 percent compared with the second quarter of 2016.

    Reply
  36. Tomi Engdahl says:

    Samsung Says EUV on Schedule for 2018
    http://www.eetimes.com/document.asp?doc_id=1332270&

    South Korea’s Samsung Electronics Co. Ltd. confirmed that it continues to expect to put extreme ultraviolet (EUV) lithography into initial production with its 7nm Low Power Plus (LPP) process in the second half of next year.

    Samsung (Seoul) also announced the addition of an 11nm LPP process utilizing FinFET technology to its process technology offerings, saying it would deliver up to 15 percent higher performance and up to 10 percent reduction in chip area compared to its 14nm LPP process while consuming the same amount of power.

    EUV, the long-heralded successor to 193nm lithography that has been delayed numerous times over the past decade, finally appears to be poised for prime time with leading edge chip makers Intel, TSMC, Samsung and Globalfoundries all targeting production deployment over the next 18 months.

    Samsung said it has processed close to 200,000 wafers with EUV lithography technology since 2014 and has recently seen visible improvement with EUV technology, such as achieving 80 percent yield for 256 Mb SRAM.

    Reply
  37. Tomi Engdahl says:

    TMSC, ARM, Xilinx, Cadence Partner on 7-nm Process
    http://www.eetimes.com/document.asp?doc_id=1332267&

    Xilinx, ARM, Cadence, and TSMC have announced a partnership to build a test chip in 7-nm FinFET process technology for delivery next year that promises to speed data center applications.

    The chip will be the first demonstration in silicon of Cache Coherent Interconnect for Accelerators (CCIX) enabling multi-core high-performance ARM CPUs working via a coherent fabric with off-chip FPGA accelerators, said the partners in a press statement.

    Reply
  38. Tomi Engdahl says:

    Chip Execs More Bullish on EUV
    Surveys take temperature on litho, masks
    http://www.eetimes.com/document.asp?doc_id=1332260

    Chip executives are increasingly optimistic the industry will adopt extreme ultraviolet lithography and multibeam mask writers, according to a pair of surveys announced today. The new systems will help drive advances at a time when it’s becoming increasingly complex and expensive to make leading-edge devices.

    Seventy-five percent of a survey of 75 semiconductor luminaries said they expect EUV will be adopted in high volume manufacturing before 2021. Only 1 percent said EUV will never be embraced, down from 6 percent last year and a whopping 35 percent in 2014.

    “There’s no question in my mind,” EUV will be adopted starting with 7nm+ processes in the next few years, said Aki Fujimura, an industry veteran and spokesman for the eBeam Initiative, a trade group that conducted the survey over the summer.

    Reply
  39. Tomi Engdahl says:

    Converters target microgrids, data centers
    http://www.edn.com/electronics-products/other/4458745/Converters-target-microgrids–data-centers

    Industrial DC/DC converters from Powerbox operate with an input voltage of 180 V to 425 V and deliver 150 W, 300 W, 600 W, or 750 W of output power for use in microgrids and data centers. The PQB150-300S, PHB300-300S, PFB600-300S, and PFB750-300S series feature a layout optimized for thermal conduction, input/output isolation of 3000 VAC minimum, and single output voltages ranging from 3.3 V to 48 V.

    In lots of 1000 units, the PQB150-300S costs $95, the PHB300-300S costs $140, and the PFB750-300S costs $190.

    Reply
  40. Tomi Engdahl says:

    Free tool analyzes waveform parameters
    http://www.edn.com/electronics-products/other/4458759/Free-tool-analyzes-waveform-parameters

    Save Follow
    PRINT
    PDF
    EMAIL
    Deep Measure software from Pico Technology enables automatic measurement of waveform parameters on up to 1 million successive waveform cycles. Furnished as standard with PicoScope 3000, 4000, 5000, and 6000 series oscilloscopes, the analysis and search tool returns a table of results that includes every waveform cycle captured in the scope’s memory. Ten waveform parameters are included in the first version of the tool and over a million results of each parameter can be collected.

    https://www.picotech.com/library/oscilloscopes/deepmeasure?hpc3

    Reply
  41. Tomi Engdahl says:

    Survey: Optimism Grows for EUV
    VSB here to stay despite multi-beam mask writers.
    https://semiengineering.com/survey-optimism-grows-for-euv/

    The optimism is growing for extreme ultraviolet (EUV) lithography in the market, according to a pair of new surveys released by the eBeam Initiative, which also revealed some new and surprising data about mask writing tools and other photomask technology.

    http://www.ebeam.org/home

    Reply
  42. Tomi Engdahl says:

    Get Ready For In-Mold Electronics
    Changes in packaging under development for new applications and price points.
    https://semiengineering.com/get-ready-for-in-mold-electronics/

    Reply
  43. Tomi Engdahl says:

    Toshiba Hopes to Finalize Chip Unit Sale to Bain-led Group
    http://www.eetimes.com/document.asp?doc_id=1332288&

    Toshiba said it signed a non-binding agreement with a consortium of bidders led by private equity firm Bain Capital, signaling its intent to finalize an agreement on the sale of its semiconductor business by the end of this month.

    Toshiba (Tokyo) said its board signed a memorandum of understanding (MOU) with the Bain-led group Wednesday (Sept. 13), but that the MOU does not preclude continued negotiations with other groups, including the consortium led by Western Digital and another led by Foxconn.

    Toshiba — which is desperate to sell of its lucrative NAND flash memory business to offset losses by its U.S. nuclear power business — said it has been in continued discussions with all three groups for weeks, but decided to further negotiations with the Bain group on the basis of that group’s latest proposal. According to the Reuters news service, that proposal is worth about $22 billion, more than the $18 billion Toshiba originally hoped to fetch for the unit.

    Reply
  44. Tomi Engdahl says:

    The components are INSIDE the circuit board
    https://hackaday.com/2017/09/11/the-components-are-inside-the-circuit-board/

    Through-hole assembly means bending leads on components and putting the leads through holes in the circuit board, then soldering them in place, and trimming the wires. That took up too much space and assembly time and labor, so the next step was surface mount, in which components are placed on top of the circuit board and then solder paste melts and solders the parts together. This made assembly much faster and cheaper and smaller.

    Now we have embedded components, where in order to save even more, the components are embedded inside the circuit board itself. While this is not yet a technology that is available (or probably even desirable) for the Hackaday community, reading about it made my “holy cow!” hairs tingle, so here’s more on a new technology that has recently reached an availability level that more and more companies are finding acceptable, and a bit on some usable design techniques for saving space and components.

    Reply
  45. Tomi Engdahl says:

    Bloomberg:
    President Trump blocks $1.3B sale of Lattice Semiconductor to China-backed private equity fund Canyon Bridge, citing national security

    Trump Blocks China-Backed Bid for Lattice Over Security Risk
    https://www.bloomberg.com/news/articles/2017-09-13/trump-blocks-china-backed-bid-for-chipmaker-over-security-risk

    President Donald Trump blocked a Chinese-backed investor from buying Lattice Semiconductor Corp., a personal rebuke that bodes poorly for several other Chinese buyers seeking U.S. security clearance for their acquisitions.

    It was just the fourth time in a quarter century that a U.S. president has ordered a foreign takeover of an American firm stopped because of national-security risks.

    The Trump administration has maintained the U.S.’s tough stance against Chinese takeovers of American businesses even as it seeks China’s help to resolve the North Korean nuclear crisis.

    “Consistent with the administration’s commitment to take all actions necessary to ensure the protection of U.S. national security, the president issued an order prohibiting the acquisition,”

    Trump’s move builds on years of U.S. opposition to China’s efforts to bolster its chip industry by buying American technology. China, the world’s largest chip market, has been on the hunt for acquisitions in the field

    The proposed acquisition of Lattice is at least the third Chinese deal that has collapsed this year after failing to win approval from the security panel.

    Reply
  46. Tomi Engdahl says:

    SWaP-vaatimukseen (smaller, light weight and power)

    Reply
  47. Tomi Engdahl says:

    Bloomberg:
    Sources: Apple in talks to invest $3B in Bain Capital’s bid for Toshiba’s memory chip business, adding to financial support from Dell, Seagate, and SK Hynix — Apple Inc. is playing the role of kingmaker in the contentious battle for Toshiba Corp.’s memory chips business.

    Apple Discussing $3 Billion Stake in Bain’s Toshiba Bid
    https://www.bloomberg.com/news/articles/2017-09-14/apple-is-said-to-discuss-3-billion-stake-in-bain-s-toshiba-bid

    Reply
  48. Tomi Engdahl says:

    TSMC Updates its Silicon Menu
    First 7nm chips, EUV migration described
    http://www.eetimes.com/document.asp?doc_id=1332293

    TSMC reported progress in 7nm and extreme ultraviolet (EUV) lithography and bolstered a planar process that competes with fully depleted silicon-on-insulator at an annual event here. It also gave updates on its work in packaging and platforms for key market segments.

    The foundry, celebrating its 30th anniversary, expects to tape out more than ten 7nm chips this year and start volume production with the process next year. The chips include a quad ARM A72 core processor running at up to 4 GHz — possibly Huawei’s Kiron mobile processor — a CCIX development platform, and an unnamed ARM server processor.

    TSMC sketched out what it called a relatively simple process of porting design rules and IP to an N7+ process using EUV it could put into production in 2019. The process can deliver 20 greater greater density, 8-10 percent higher speeds or 15-20 percent less power than its current N7 node. Compared to its 16FFC process, N7+ can enable 30 percent higher speed or 50 percent less power on an ARM A72 core, said Cliff Hou, vice president of R&D for design technology at TSMC.

    Reply

Leave a Comment

Your email address will not be published. Required fields are marked *

*

*