Electronics trends for 2018

Here are some of my collection of newest trends and predictions for year 2018. I have not invented those ideas what will happen next year completely myself. I have gone through many articles that have given predictions for year 2018. Then I have picked and mixed here the best part from those articles (sources listed on the end of posting) with some of my own additions to make this posting.This article contains very many quotations from those source articles (hopefully all acknowledged with link to source).

The general trend in electronics industry is that the industry growth have been driven by mobile industry. Silicon content in smartphones and other mobile devices is increasing as vendors add greater functionality. Layering on top of that are several emerging trends such as IoT, big data, AI and smart vehicles that are creating demand for greater computing power and expanding storage capacity.

 

Manufacturing trends

According to Foundry Challenges in 2018 article the silicon foundry business is expected to see steady growth in 2018. The growth in semiconductor manufacturing will remain steady, but there will be challenges in the manufacturing capacity and  expenses to move to the next nodes. For most applications, unless you must have highest levels of performance, there may not be as compelling a business case to focus on the bleeding-edge nodes. Over the last two years, the IC industry has experienced an acute shortage of 200mm fab capacity (legacy MCU, power, sensors, 6-micron to 65nm). In 2018, 200mm capacity will remain tight. An explosion in 200mm demand has set off a frenzied search for used semiconductor manufacturing equipment that can be used at older process nodes. The problem is there is not enough used equipment available. The profit margins in manufacturing are so thin in markets served by those fabs that it’s hard to justify paying current rising equipment prices, and newcomers may have a tough time making inroads. Foundries with fully depreciated 200mm equipment and capacity already are seeing increased revenues in their 200mm business.The specialty foundry business is undergoing a renaissance, thanks to the emergence of 5G and automotive.

300mm is expected to follow a similar path for lack of capacity because 300mm fabs already produce leading-edge chips and more mainstream 300mm demand is driven by MCUs, wireless communications and storage applications. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm

In 2017, marking the first time that the semiconductor equipment market has exceeded the previous market high of US$47.7 billion set in 2000. Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAM. In 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017. In 2018, 7.5 percent growth is expected to result in sales of US$60.1 billion for the global semiconductor equipment market – another record-breaking year. Demand looks solid across the three main growth drivers for fab tool vendors—DRAM, NAND and foundry/logic.
Rising demand for chips is hitting the IC packaging supply chain, causing shortages of select manufacturing capacity, various package types, leadframes and even some equipment. Spot shortages for some IC packages began showing up in 2017, but the problem has been growing and spreading since then, so  packaging customers may encounter select shortages well into 2018Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018.

Market for advanced packaging begins to diverge based on performance and price. Advanced Packaging is now viewed as the best way to handle large amounts of data at blazing speeds.

Moore’s law

Many recent publications say Moore’s Law is dead. Though Moore’s Law is dead may be experiencing some health challenges, it’s not time to start digging the grave for the semiconductor and electronics market yet

Even smaller nodes are still being taken to use in high end chips. The node names are confusing. Intel’s 10nm technology is roughly equivalent to the foundry 7nm node.In 2018, Intel is expected to finally ramp up 10nm finally in the first half of 2018. In addition, GlobalFoundries, Samsung and TSMC will begin to ship their respective 7nm finFET processes. On the leading edge, GlobalFoundries, Intel, Samsung and TSMC start migrating from the 16nm/14nm to the 10nm/7nm logic nodes. It is expected that some chip-makers face some challenges on the road. Time will tell if GlobalFoundries, Samsung and TSMC will struggle at 7nm. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm. 7nm is projected to generate sales from $2.5 billion to $3.0 billion in 2018. Over time 10nm/7nm is expected to be a big and long-running node. Suppliers of FPGAs and processors are expected to jump on 10nm/7nm.

South Korea’s Samsung Electronics said it has commenced production of the second generation of its 10nm-class 8-Gb DDR4 DRAM. Devices labeled 10nm-class have feature sizes as small as 10 to 19 nanometers. With the continued need for shrinking pattern dimensions, semiconductor manufacturers continue to implement more complex patterning techniques, such as advanced multi-patterning, for the 10nm design node and beyond. They also are investing significant development effort in readying EUV lithography for production at the 7/5nm design nodesSamsung is planning to begin transitioning to EUV for logic chips next year at the 7nm node, although it is unclear when the technology will be put into production for DRAM.

There will be talk on even smaller nodes. FinFETs will get extended to at least to 5nm, and possibly 3nm in next 5 years. The path to 5nm loks pretty clear. FinFETs will get extended at least to 5nm. It’s possible they will get extended to 3nm. EUV will be used at new nodes, followed by High NA Lithography. New smaller nodes challenges the chip design as abstractions become more difficult at 7nm and beyond. Models are becoming more difficult to develop, integrate and utilize effectively at 10/7nm and beyond as design complexity, process variation and physical effects add to the number of variables that need to be taken into account. Materials and basic structures may diverge by supplier, at 7 nm and beyond. Engineering and scientific teams at 3nm and beyond will require completely different mixes of skills than today.

Silicon is still going strong, but the hard fact is that CMOS has been running out of steam for several nodes, and that becomes more obvious at each new node. To extend into new markets and new process nodes Chipmakers Look To New Materials. There are a number of compounds in use already (generally are being confined to specific niche applications), such as gallium arsenide, gallium nitride, and silicon carbide. Silicon will be supplemented by 2D materials to extend Moore’s Law. Transition metal dichalcogenides (TMDCs), a class of 2D materials derived from basic elements—principally tellurium, selenium, sulfur, and oxygen—are being widely explored by researchers. TMDCs are functioning as semiconductors in conjunction with graphene. Graphene, the wonder material rediscovered in 2004, and a host of other two-dimensional materials are gaining ground in manufacturing semiconductors as silicon’s usefulness begins to fade. Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. Future progress increasingly will require a mix of different materials and disciplines, but silicon will remain a key component.

Interconnect Materials need to to be improved. For decades, aluminum interconnects were the industry standard. In the late 1990s, chipmakers switched to copper. Over the years, transistors have decreased dramatically in size, so interconnects also have had to scale in size leading to roadblock known as the RC challenge. Industry is investing significant effort in developing new approaches to extend copper use and finding new metals. There’s also some investigation into improvements on the dielectric side. The era of all-silicon substrates and copper wires may be coming to an end.

Application markets

Wearables are a question mark. Demand for wearables slowed down in 2017 so much that smart speakers likely outsold wearable devices in 2017 holiday season.  eMarketer is estimating that usage of wearable will grow just 11.9 percent in 2018, rising from 44.7 million adult wearable users in 2017 to 50.1 million in 2018. On the other hand market research firm IDC estimates that the shipments of wearable electronics devices are projected to more than double over the next five years as watches displace fitness trackers as the biggest sellers. IDC forecasts that wearables shipments will increase at a compound annual growth rate of 18.4 percent between 2017 and 2021, rising from 113.2 million this year to 222.3 million in 2021. At the same time fitness trackers are expected to become commodity product. Tomorrow’s wearables will become more fully featured and multi-functional.

The automotive market for semiconductors is shifting into high gear in 2018. Right now the average car has about $350 worth of semiconductor content, but that is projected to grow another 50% by 2023 as the overall automotive market for semiconductors grows from $35 billion to $54 billion. The explosion of drive-by-wire technology, combined with government mandates toward fully electric powertrains, has changed this paradigm—and it impacts more than just the automotive industry. Consider implications beyond the increasingly complex vehicle itself, including new demands on supporting infrastructure. The average car today contains up to 100 million lines of code. Self-driving car will have considerably more code in it. Software controls everything from safety critical systems like brakes and power steering, to basic vehicle controls like doors and windows. Meeting ISO 26262 Software Standards is needed but it will not make the code bug free. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC. The shift to autonomous vehicles marks a major shift in the supply chain—and a major opportunity.

Many applications have need for a long service life — for example those deployed within industrial, scientific and military industries. In these applications, the service life may exceed that of component availability. Replacing an advanced, obsolete components in a design can be very costly, potentially requiring an entire redesign of the electronic hardware and software. The use of programmable devices helps designers not only to address component obsolescence, but also to reduce the cost and complexity of the solution. Programmable logic devices are provided in a range of devices of different types, capabilities and sizes, from FPGAs to System on Chips (SoC) and Complex Programmable Logic Devices (CPLD). The obsolete function can be emulated within the device, whether it is a logic function implemented in programmable logic in a CPLD, FPGA or SoC, or a processor system implemented in an FPGA or SoC.

Become familiar with USB type C connector. USB type C connector is becoming quickly more commonplace than any other earlier interface. In the end of 2016 there were 300 million devices using a USBC connection – a big part was smartphones, but the interface was also widespread on laptops. With growth, the USBC becomes soon the most common PC and peripheral interface. Thunderbolt™ 3 on USBC connector promises to fulfill the promise of USB-C for single-cable docking and so much more.

 

Power electronics

The power electronics market continues to grow and gain more presence across a variety of markets2017 was a good year for electric vehicles and the future of this market looks very promising. In 2017, we saw also how wireless charging technology has been adopted by many consumer electronic devices- including Apple smart phones. Today’s power supplies do more than deliver clean and stable dc power on daily basis—they provide advanced capabilities that can save you time and money.

Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. At the moment, the number of applications for those materials is steadily increasing in the automotive and military industry. Expect to see more adoption of SiC and GaN materials in automotive market.

According to Battery Market Goes Bigger and Better in 2018 article advances in battery technologies hold the keys to continuing progress in portable electronics, robotics, military, and telecommunication applications, as well as distributed power grids. It is difficult to see lithium-ion based batteries being replaced anytime soon, so the advances in battery technology are primarily through the application of lithium-ion battery chemistries. New battery protection for portable electronics cuts manufacturing steps and costs for Lithium-ion.

Transparency Market Research analysts predict that the global lithium-ion battery market is poised to rise from $29.67 billion in 2015 to $77.42 billion in 2024 with a compound annual growth rate of 11.6 %. That growth has already spread from the now ubiquitous consumer electronics segment to automotive, grid energy, and industrial applications. Dramatic increase is expected for battery power for the transportation, consumer electronic, and stationary segments. According to Bloomberg New Energy Finance (BNEF), the global energy-storage market will double six times between 2016 and 2030, rising to a total of 125 G/305 gigawatt-hours. In 2018, energy-storage systems will continue proliferating to provide backup power to the electric grid.

Memory

Memory business boomed in 2017 for both NAND and DRAM. The drivers for DRAM are smartphones and servers. Solid-state drives (SSDs) and smartphones are fueling the demand for NAND.  Both the DRAM and NAND content in smartphones continues to grow, so memory business will do well in 2018.Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAMIn 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017.

NAND Market Expected to Cool in Q1 from the crazy year 2017, but it is still growing well because there is increasing demand. The average NAND content in smartphones has been growing by roughly 50% recently, going from approximately 24 gigabytes in 2016 to approximately 38 gigabytes today.3D NAND will do the heavy memory lifting that smartphone users demand. Contract prices for NAND flash memory chips are expected to decline in during the first quarter of 2018 as a traditional lull in demand following the year-end quarter.

Lots of 3D NAND will go to solid state drives in 2018. IDC forecasts strong growth for the solid-state drive (SSD) industry as it transitions to 3D NAND.  SSD industry revenue is expected to reach $33.6 billion in 2021, growing at a CAGR of 14.8%. Sizes of memory chips increase as number of  layer in 3D NAND are added. We’ve already scaled up to 48 layers. Does this just keep scaling up, or are there physical limits here? Maybe we could see a path to 256 layers in few years.

Memory — particular DRAM — was largely considered a commodity business. Though that it’s really not true in 2017. DRAM memory marked had boomed in 2017 at the highest rate of expansion in 23 years, according to IC Insights. Skyrocketing prices drove the DRAM market to generate a record $72 billion in revenue, and it drove total revenue for the IC market up 22%. Though the outlook for the immediate future appears strong, a downturn in DRAM more than likely looms in the not-too-distant future. It will be seen when there are new players on the market. It is a largely unchallenged assertion that Chinese firms will in the not so distant future become a force in semiconductor memory market. Chinese government is committed to pumping more than $160 billion into the industry over a decade, with much of that ticketed for memory startups.

There is search for faster memory because modern computers, especially data-center servers that skew heavily toward in-memory databases, data-intensive analytics, and increasingly toward machine-learning and deep-neural-network training functions, depend on large amounts of high-speed, high capacity memory to keep the wheels turning. The memory speed has not increased as fast as the capacity. The access bandwidth of DRAM-based computer memory has improved by a factor of 20x over the past two decades. Capacity increased 128x during the same period. For year 2018 DRAM remains a near-universal choice when performance is the priority. There has been some attempts to very fast memory interfaces. Intel the company has introduced the market’s first FPGA chip with integrated high-speed EMBED (Embedded Multi-Die Interconnect Bridge): The Stratix 10 MX interfaces to HMB2 memory (High Memory Bandwidth) that offers about 10 times faster speed than standard DDR-type DIMM.

There is search going on for a viable replacement for DRAM. Whether it’s STT-RAM or phase-change memory or resistive RAM, none of them can match the speed or endurance of DRAM. Necessity is the mother of invention, and we see at least two more generations after 1x. XPoint is also coming up as another viable memory solution that could be inserted into the current memory architecture. It will be interesting to see how that plays out versus DRAM.

5G and IoT

5G something in it for everyone. 5G is big.  5G New Radio (NR) wireless technology will ultimately impact everyone in the electronics and telecommunications industries. Most estimates say 2020 is when we will ultimately see some real 5G deployments on a scale. In the meantime, companies are firming up their plans for whatever 5G products and services they will offer. Though test and measurement solutions will be key in the commercialization cycle. 5G is set to disrupt test processes. If 5G takes off, the technology will propel the development of new chips in both the infrastructure and the handset. Data centers require specialty semiconductors from power management to high-speed optical fiber front-ends. 5G systems will drive more complexity in RF front-ends .5G will offer increased capacity and decreased latency for some critical applications such as vehicle-to-vehicle (V2V) or vehicle-to-infrastructure (V2I) communications for advanced driver assistance systems (ADAS) and self-driving vehicles. The big question is whether 5G will disrupt the landscape or fall short of its promises.

Electronics manufacturers expect a lot from Internet of Thing. The evolution of intelligent electronic sensors is creating a revolution for IoT and Industrial IoT as companies bring new sensor-based, intelligent systems to market. The business promise is that the proliferation of smart and connected “things” in the Industrial Internet of Things (IIoT) provides tremendous opportunities for increased performance and lower costs. Industrial Internet of Things (IIoT) has a market forecast approaching $100 billion by 2020. Turning volumes of factory data into actionable information that has value is essential. Predictive maintenance and asset tracking are two big IoT markets to watch in 2018 because they will provide real efficiencies and improved safety. It will be about instrumenting our existing infrastructures with sensors that improve their reliability and help predict failures. It will be about tracking important assets through their lifecycles.

A new breed of designers has arrived that is leveraging inexpensive sensors to build the intelligent systems at the edge of the Internet of Things (IoT). They work in small teams, collaborate online, and they expect affordable design tools that are easy to use in order to quickly produce results. Their goal is to deliver a functioning device or a proof-of-concept to their stakeholders while spending as little money as possible to get there. We need to become multi-functional engineers who can comfortably work in the digital, RF, and system domains.

The Io edge sensor  device usually needs to be cheap. Simple mathematical reasoning suggests that the average production cost per node must be small, otherwise the economics of the IoT simply are not viable. Most suppliers to the electronics industry are today working under the assumption that the bill-of-materials (BoM) cost of a node cannot exceed $5 on average. While the sensor market continues to garner billions of dollars, the average selling price of a MEMS sensor, for example, is only 60 cents.

Designing a well working and secure IoT system is still hard. IoT platforms are very complex distributed systems and managing these distributed systems is often an overlooked challenge. When designing for the IoT, security needs to be addressed from the Cloud down to each and every edge device. Protecting data is both a hardware and a software requirement, as more data is being stored and analyzed in edge devices and gateways.

The continued evolution of powerful embedded processors is enabling more functionality to be consolidated into single heterogeneous multicore devices. You will see more mixed criticality designs – those designs which contain both safety-critical and non-safety critical processes running on the same chip. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC.

AI

There is clearly a lot of hype surrounding machine learning (ML) and artificial intelligence (AI) fields. Over the past few years, machine learning (ML) has evolved from an interesting new approach that allows computers to beat champions at chess and Go, into one that is touted as a panacea for almost everything. Machine learning already has delivered beneficial results in certain niches, but it has potential for a bigger and longer lasting impact because of the demand for broad insights and efficiencies across industries. Also EDA companies have been investing in this technology and some results are expected to be announced.

The Battle of AI Processors Begins in 2018. Machine learning applications have a voracious appetite for compute cycles, consuming as much compute power as they can possibly scrounge up. As a result, they are invariably run on parallel hardware – often parallel heterogeneous hardware—which creates development challenges of its own. 2018 will be the start of what could be a longstanding battle between chipmakers to determine who creates the hardware that artificial intelligence lives on. Main contenders on the field at the moment are CPUs, GPUs, TPUs (tensor processing units), and FPGAs. Analysts at both Research and Markets and TechNavio have predicted the global AI chip market to grow at a compound annual growth rate of about 54% between 2017 and 2021.

 

Sources:

Battery Market Goes Bigger and Better in 2018

Foundry Challenges in 2018

Smart speakers to outsell wearables during U.S. holidays, as demand for wearables slows

Wearables Shipments Expected to Double by 2021

The Week In Review: Manufacturing #186

Making 5G Happen

Five technology trends for 2018

NI Trend Watch 2018 explores trends driving the future faster

Creating Software Separation for Mixed Criticality Systems

Isolating Safety and Security Features on the Xilinx UltraScale+ MPSoC

Meeting ISO 26262 Software Standards

DRAM Growth Projected to be Highest Since ’94

NAND Market Expected to Cool in Q1

Memory Market Forecast 2018 … with Jim Handy

Pushing DRAM’s Limits

3D NAND Storage Fuels New Age of Smartphone Apps

$55.9 Billion Semiconductor Equipment Forecast – New Record with Korea at Top

Advanced Packaging Is Suddenly Very Cool

Fan-Outs vs. TSVs

Shortages Hit Packaging Biz

Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018

Rapid SoC Proof-of-Concept for Zero Cost

EDA Challenges Machine Learning

What Can You Expect from the New Generation of Power Supplies?

Optimizing Machine Learning Applications for Parallel Hardware

FPGA-dataa 10 kertaa nopeammin

The 200mm Equipment Scramble

Chipmakers Look To New Materials

The Trouble With Models

What the Experts Think: Delivering the next 5 years of semiconductor technology

Programmable Logic Holds the Key to Addressing Device Obsolescence

The Battle of AI Processors Begins in 2018

For China’s Memory Firms, Legal Tests May Loom

Predictions for the New Year in Analog & Power Electronics

Lithium-ion Overcomes Limitations

Will Fab Tool Boom Cycle Last?

The Next 5 Years Of Chip Technology

Chipmakers Look To New Materials

Silicon’s Long Game

Process Window Discovery And Control

Toward Self-Driving Cars

Sensors are Fundamental to New Intelligent Systems

Industrial IoT (IIoT) – Where is Silicon Valley

Internet of things (IoT) design considerations for embedded connected devices

How efficient memory solutions can help designers of IoT nodes meet tight BoM cost targets

What You Need to Become a Multi-Functional Engineer

IoT Markets to Watch in 2018

USBC yleistyy nopeasti

1,325 Comments

  1. Tomi Engdahl says:

    Gartner Says Worldwide Semiconductor Revenue Forecast to Grow 7.5 Percent in 2018
    https://www.gartner.com/newsroom/id/3845163

    Worldwide semiconductor revenue is forecast to total $451 billion in 2018, an increase of 7.5 percent from $419 billion in 2017, according to Gartner, Inc. This represents a near doubling of Gartner’s previous estimate of 4 percent growth for 2018.

    “Favorable market conditions for memory sectors that gained momentum in the second half of 2016 prevailed through 2017 and look set to continue in 2018, providing a significant boost to semiconductor revenue,” said Ben Lee, principal research analyst at Gartner. “Gartner has increased the outlook for 2018 by $23.6 billion compared with the previous forecast, of which the memory market accounts for $19.5 billion. Price increases for both DRAM and NAND flash memory are raising the outlook for the overall semiconductor market.”

    However, these price increases will put pressure on margins for system vendors of key semiconductor demand drivers, including smartphones, PCs and servers. Gartner predicts that component shortages, a rising bill of materials (BOM) and the resulting prospect of having to raise average selling prices (ASPs) will create a volatile market through 2018.

    Despite the upward revision for 2018, the quarterly growth profile for 2018 is expected to fall back to a more normal pattern with a mid-single-digit sequential decline in the first quarter of the year, followed by a recovery and buildup in both the second and third quarters of 2018, and a slight decline in the fourth quarter.

    Analog Seen as Fastest-Growing Chip Segment
    https://www.eetimes.com/document.asp?doc_id=1332848

    The analog chip segment, buoyed by expansion in power management and automotive, is expected to be the fastest growing segment of the broader semiconductor market over the next five years, according to market research firm IC Insights.

    Sales of analog chips — including both general purpose and application-specific devices — are forecast to increase at a compound annual growth rate (CAGR) of 6.6 percent from 2017 to 2022, rising to $74.8 billion from $54.5 billion, according to the 2018 edition of IC Insights’ annual McClean Report.

    The broader IC market is projected to grow at a 5.1 percent CAGR over the same period, according to the report.

    IC Insights is projecting that IC sales will grow by some 8 percent this year after growing by 22 percent in 2017. The firm expects total chip sales to reach $393.9 billion in 2018, growing to $466.8 billion in 2022.

    Reply
  2. Tomi Engdahl says:

    EDA, IP Sales Up 8%
    All geographies show growth, including Japan; hiring increases across the industry.
    https://semiengineering.com/eda-ip-sales-up-8/

    The EDA sector continues to exhibit solid growth, increasing 8% to $2.2262 billion in Q3, up from $2.0937 billion in the same period in 2016, according to the most recent stats from the ESD Alliance Market Statistics Service. The four-quarter moving average was up 11.5%, year over year.

    While all of the numbers were up, two areas showed extraordinary growth. One involved Japan, which showed a 9.7 increase in Q3 2017, compared with the same period 8in 2016. CAE grew 15.2% in that period, while PCB/MCM was up 16.2% and IP was up 6%. Only IC physical design and verification and services revenue showed a decrease in that country.

    Reply
  3. Tomi Engdahl says:

    January 12, 2018
    Analog IC Market Forecast With Strongest Annual Growth Through 2022
    http://www.icinsights.com/news/bulletins/Analog-IC-Market-Forecast-With-Strongest-Annual-Growth-Through-2022/

    Power management, signal conversion, and automotive-specific analog markets drive expansion.

    Reply
  4. Tomi Engdahl says:

    Warp Speed Ahead
    What can you do with orders of magnitude performance improvements?
    https://semiengineering.com/warp-speed-ahead/

    The computing world is on a tear, but not just in one direction. While battery-powered applications are focused on extending the time between charges or battery replacements, there is a whole separate and growing market for massive improvements in speed.

    Ultimately, this is where quantum computing will play a role, probably sometime in the late 2020/early 2030 timeframe, according to multiple industry estimates. Still, although there has been some progress in room-temperature quantum computing, the bulk of that computing initially will be done in extreme cold inside of data centers.

    Between these two extremes, there is a growing focus on new architectures, packaging, materials and ever-increasing density to deal with massive amounts of data.

    “If you look at anything around big data, all of these systems will become smarter and smarter,” noted Synopsys chairman and co-CEO Aart de Geus. “Over time the desire is not to get 2X performance, but 100X. The only way to get there is not by using faster chips, but by using chips that can only do a single task. In other words, algorithm-specific. By simplifying the problem, you can make things much more efficient.”

    And this is where computing is about to take a big leap. In the past, the focus was on how to get more speed out of general-purpose processors, whether those were CPUs, GPUs or MCUs. Increasingly, processors are being designed for specific tasks.

    This puts new pressure on big chipmakers. Instead of spending years developing the next rev of a general processor, the future increasingly is about flexibility, choice, and an increasing level of customization. This is why Intel bought Altera, and it helps explains why all processor makers have been ramping up the number of chips they offer.

    companies begin architecting their own chips, which is already happening. Apple, Amazon, Google, Microsoft, Facebook and Samsung today are creating chips for specific applications. It’s also why there is so much attention being focused on programmability and parallelism, whether that involves embedded FPGAs, DSPs, or hybrid chips that add some level of programmability into ASICs.

    Reply
  5. Tomi Engdahl says:

    The first freely programmable mixed signal circuit

    CMIC is a product group of configurable mixed signal ICs developed by Silego, which can be used to perform a wide range of analogue functions in the devices. Under the name of Dialog Semiconductor, which has been purchased by Silego, the first CMIC circuits are now available, which can be programmed into the device after installation.

    This in-system programming will further facilitate the use of CMIC circuits in the devices. The circuit board on the devices can be used to install an empty GreenPAK circuit and its functionality can be accomplished by feeding the bit stream to the non-volatile memory of the circuit through the I2C bus.

    According to the Dialogue, the first circuits that support this programmability are SLG48626 and SLG46824. The circuits are 2×3-millimeters in 20-pin STQFN enclosure packed components.

    Silego said last autumn that it had delivered three billion circuits. The border of two billion circuits has been reported in August 2016. In December, the new owner, Dialog Semiconductor, said that its circuits will handle the Huawei Mate 10 flagship phone quick charge power conversion.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=7408&via=n&datum=2018-01-17_14:58:41&mottagare=31202

    Reply
  6. Tomi Engdahl says:

    Artificial Intelligence powers mobile phones fast

    Last year, the first smartphones were introduced to the market, utilizing artificial intelligence. Gartner predicts that technology will become more rapid. In 2022, 80 percent of the new smartphones incorporate some kind of artificial intelligence integrated into the device.

    For manufacturers, artificial intelligence becomes a key way to distinguish themselves from other manufacturers’ devices. For this development, Apple’s latest iPhone X is a good example. With artificial intelligence, the focus of equipment sales is shifting from sales of technology to selling personalized user experience, and this artificial intelligence works as an excellent tool.

    Last year artificial intelligence was found on every tenth new smartphone. These were the top models of the manufacturers. The most artificial intelligence is used to optimize power consumption, although for example, Huawe uses it to identify imaging objects.

    At the tip, the research institute raises the ability of the phone to know its users and their behaviors. The second most important feature will be to identify a user such as Face ID on Apple’s iPhone X.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=7411&via=n&datum=2018-01-17_14:58:41&mottagare=31202

    Reply
  7. Tomi Engdahl says:

    Celebrating the 70th Anniversary of the Transistor
    We take a look back at a device that overwhelmingly changed the electronics industry and our lives.
    http://www.powerelectronics.com/community/celebrating-70th-anniversary-transistor?NL=ED-003&Issue=ED-003_20180117_ED-003_419&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=14949&utm_medium=email&elq2=4fa32dfab00e4c73a505d5d110c6a37d

    As of Dec. 23, 2017, the transistor was officially 70 years old. The invention of the transistor may have been the greatest technology development of the 20th century. It has given us the integrated circuit and its progeny computers, TVs, smartphones, and all the other electronic stuff we use every day. We probably all owe our jobs to the invention of the transistor. So let’s take a moment to think about and celebrate this one monumental discovery.

    The various historical records say that the transistor was invented Dec. 23, 1947 at AT&T’s Bell Laboratories by scientists William Shockley, John Bardeen, and Walter Brattain. On that day, they demonstrated transistor amplification with a point contact transistor.

    Reply
  8. Tomi Engdahl says:

    IR-Triggered MEMS Switch Requires Zero Power When Dormant
    http://www.electronicdesign.com/power/ir-triggered-mems-switch-requires-zero-power-when-dormant?NL=ED-003&Issue=ED-003_20180117_ED-003_419&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=14949&utm_medium=email&elq2=4fa32dfab00e4c73a505d5d110c6a37d

    This MEMS-based on/off switch is triggered by impinging IR photons, and remains in a zero-power quiescent state until that event takes place.

    Even the minute amount of current needed in slow, infrequently activated Internet of Things (IoT) and other sensing applications can aggregate to an unacceptable energy drain and associated battery depletion, or result in energy-harvesting challenges. To address this issue, a team at Northeastern University has developed a MEMS-based switch that consumes zero power when it’s in dormant standby mode, but will “wake up” when triggered and subsequently turn on the rest of the circuitry.

    The event-driven switch is activated by impinging infrared (IR) light, and transforms the tiny amount of photonic energy within defined spectral bands to activate a MEMS mechanism. This IR energy could be from a source such as a flame or explosion; when the activating IR energy is removed, the switch turns itself off.

    The physics of transforming the IR absorption is based on plasmons, which are the waves of electrons that move along the surface of a metal after it’s been struck by photons

    Dubbed plasmonically enhanced micromechanical photoswitches (PMPs), the devices are based on nanoscale gold patches.

    The switches take energy from the IR electromagnetic radiation at specific, targeted wavelengths, and use it to mechanically close the contacts of the switches, thus creating a low-resistance electrical path without a need for any other power source. The activation mechanism is electromagnetic-to-thermal energy conversion.

    Reply
  9. Tomi Engdahl says:

    The world’s fastest DRAM – 2.4 gigabytes per pin

    In supercomputers and artificial intelligence applications, faster memory is required. Samsung responds by offering a new Aquabolt memory that utilizes the new HMB2 available volumes.

    According to Samsung, 8 GB HBM2 (High Bandwidth Memory-2) memory is the fastest data transfer on the market. With one pin, the memory transfers data to 2.4 gigabytes per second, even though the circuit operates at a low voltage of 1.2 volts.

    The 8 GB circuit transfers data altogether 307 gigabytes per second. This is 9.6 times faster than the 8 gigabit GDDR5 chip with a bandwidth of 32 gigabytes per second.

    If the hardware installs four new HMB2 memory, it already has a 1.2-terabyte bandwidth in memory. Compared to the first knee’s HMB2 memory, performance is up 50 percent, Samsung praises.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=7404&via=n&datum=2018-01-16_15:55:57&mottagare=31202

    Reply
  10. Tomi Engdahl says:

    GE May Break Apart, Here’s Why
    https://www.mddionline.com/ge-may-break-apart-heres-why?ADTRK=UBM&elq_mid=2909&elq_cid=876648

    General Electric is considering breakup options after taking a major tax hit related to an old portfolio of long-term care insurance.

    Reply
  11. Tomi Engdahl says:

    A Signal Integrity Problem? Maybe Not
    https://www.designnews.com/electronics-test/signal-integrity-problem-maybe-not/166028228158102?ADTRK=UBM&elq_mid=2908&elq_cid=876648

    Too often electronic design engineers don’t understand that signal integrity, power integrity, and EMI should be considered in whole, expert says.

    Electronic design engineers testing for signal integrity issues in their products may be looking for the wrong thing, experts will tell engineers at an upcoming keynote panel at DesignCon in Santa Clara, CA.

    In many cases, the real culprit may be power integrity or electromagnetic interference (EMI), but engineers are increasingly misinterpreting the problem in front of them. The misunderstanding can cause problems in all kinds of systems using high-speed electronics and sensors. “We have to consider signal integrity, power integrity, and electromagnetic interference, not independently, but as one thing,” Steve Sandler, managing director of Picotest, told Design News. “Too often, we’re not doing that.”

    The problem is exacerbated by the fact engineers on big projects often operate in exclusive provinces and don’t understand how such challenges can be interrelated. “We have signal integrity engineers, power integrity engineers, and EMI engineers,” Sandler said. “Each of them has their own sector, their own tools, and even their own jargon. That’s the biggest challenge – we’re not even able to talk to each other because we use different words.”

    To address the problem, Sandler says that engineers need training and the appropriate tools. Proper training encourages engineers to share knowledge and “cross-pollinate” – that is, understand the close relationships between the provinces of signal, power sources, and EMI. Tools are also vital because the equipment used by signal integrity engineers typically differ from those of power engineers.

    “A 100-MHz oscilloscope is probably not okay for the power supply guy,” Sandler told us. “That engineer probably needs a 4-GHz scope. And, yes, they do need to have the right probes and they need to know how to make measurements.”

    Reply
  12. Tomi Engdahl says:

    Linköping University has made a significant breakthrough that can make much of the development of better bioelectronic devices available today. The researchers succeeded in producing the electrochemical logic circuits, which worked in the water for a long time in complete stability.

    Based on the tests, the logic circuits developed from materials have been stable for a long time under the influence of both oxygen and water.

    Source: http://www.etn.fi/index.php/13-news/7391-ensimmaiset-vedessa-toimivat-logiikkapiirit

    Reply
  13. Tomi Engdahl says:

    Tech Talk: 7nm Process Variation
    https://semiengineering.com/tech-talk-process-variation/
    A look at the issues caused by process variation and why it’s difficult to find them.

    Reply
  14. Tomi Engdahl says:

    Plessey Rolls MicroLED, Moves to Licensing Model
    https://www.eetimes.com/document.asp?doc_id=1332837

    Plessey Semiconductor said it expects to be the first to market with a monolithic microLED based display on its GaN-on-Silicon technology. The company also moved to a technology licensing model (as opposed to just manufacturing), to become a key technology platform provider for the photonics industry.

    At CES this week, Plessey is engaging with various display manufacturers and OEMs with a demonstrator to help prove its monolithic approach, and proving the brightness and addressability of the device. The company says it expects to have a prototype microLED fabricated using a GaN-on-Silicon approach at the end of this month and a product by the end of the first half of 2018.

    Reply
  15. Tomi Engdahl says:

    These 2017 Embedded Trends Will Thrive in 2018
    http://www.electronicdesign.com/embedded-revolution/these-2017-embedded-trends-will-thrive-2018

    What trends in 2017 will have staying power? Senior Technology Editor Bill Wong looks at some of the hottest embedded trends that should keep percolating this year.

    Reply
  16. Tomi Engdahl says:

    EUV, 7nm Road Maps Detailed
    https://www.eetimes.com/document.asp?doc_id=1332860

    7nm looks good, but resists lag at 5nm

    Extreme ultraviolet lithography (EUV) is set to enable 10nm and 7nm process nodes over the next few years, but significant work is still needed on photoresists to enable 5nm chips, according to an analysis released at the Industry Strategy Symposium here.

    At the same time EUV maker ASML announced it shipped 10 EUV systems last year and will ship 20-22 more this year. The systems will have or at least support a 250W laser light source needed to produce 125 wafers/hour.

    “The main pieces for EUV at 7nm are in place, and we will see some volume of wafers this year…but photoresist defects are still an order of magnitude too high for 5nm,” said Scotten Jones, president of IC Knowledge.

    The new and expensive systems, in development more than 20 years, help make the fine features needed for next generation chips and reduce the time required to make them.

    “EUV provides a tremendous reduction in cycle time and edge placement errors…but not much cost reduction, at least initially. There are so many other benefits that even if the cost is neutral it still makes sense,”

    Jones expects ASML will ship another 70 systems in 2019-2020.

    Reply
  17. Tomi Engdahl says:

    EUV Backlog Grows as ASML Sets Sales Record
    https://www.eetimes.com/document.asp?doc_id=1332861

    Lithography system provider ASML posted strong fourth quarter results, capping a year in which it posted record sales and shipped 10 next-generation extreme ultraviolet (EUV) lithography tools.

    Oft-delayed EUV is finally on the cusp of being inserted into production, with some leading-edge chip makers planning to use it late this year or early next.

    Peter Wennink, ASML’s president and CEO, said “preparations for inserting EUV into high-volume chip manufacturing shifted into a higher gear” in 2017.

    ASML recorded EUV revenue for the year of 1.1 billion euros (about $1.34 billion) and picked up an additional 10 EUV system orders during the fourth quarter, exiting the year with a new high water EUV backlog of 28 systems.

    Reply
  18. Tomi Engdahl says:

    Samtec’s New Edge Card Connectors for Higher Speeds and Proper Alignment
    https://www.eeweb.com/profile/eeweb/news/samtecs-new-edge-card-connectors-for-higher-speeds-and-proper-alignment

    Samtec has expanded their line of edge card connectors with 0.80 mm and 1.00 mm pitch sockets designed for higher speed applications and optimal mating alignment.

    The 0.80 mm pitch socket (HSEC8-DP Series) is a differential pair version of Samtec’s popular Edge Rate® 0.80 mm pitch sockets. Rated for speeds to 28 Gbps NRZ/56 Gbps PAM4, the socket features Edge Rate® contacts designed to increase cycle life and decrease crosstalk. The double row socket, with 8 to 56 total pairs and optional rugged weld tabs, mates with standard 1.60 mm (.062″) thick cards.

    Optimized for performance to 28 Gbps, the 1.00 mm pitch edge card socket (HSEC1 Series) also features signal integrity optimized Edge Rate® contacts with alignment pin and rugged weld tab options. The socket is available with up to 140 total positions and mates with standard 1.60 mm (.062″) thick cards.

    Standard high-speed edge card sockets include: 0.635 mm (MEC6 Series), 0.80 mm (MEC8 Series), 1.00 mm (MEC1 Series), 1.27 mm (MECF Series) and 2.00 mm (MEC2 Series). The 1.00 mm pitch PCI Express® socket (PCIE Series) supports one, four, eight and sixteen links with a low-profile version (PCIE-LP Series) compatible to Gen 4 speeds. The 1.00 mm pitch micro plane socket (SAL1 Series) is SATALink™ compatible and mounts in pairs to accommodate various mating card thicknesses.

    Reply
  19. Tomi Engdahl says:

    Moving Toward The Smart Factory In Microelectronics Manufacturing
    https://semiengineering.com/moving-toward-the-smart-factory-in-microelectronics-manufacturing/

    Common themes, industry-specific challenges, and opportunities.

    The era of smart manufacturing, Industry 4.0 and the smart factory promises significant opportunities to reduce cost, boost productivity and improve quality in microelectronics manufacturing. But it also presents the industry with new, unique challenges.

    Smart manufacturing (SM) is a term “generally applied to a movement in manufacturing practices towards integration up and down the supply chain, integration of physical and cyber capabilities, and taking advantage of advanced information for increased flexibility and adaptability.” It is often equated with “Industry 4.0” (I4.0),

    REALIZING THE SMART FACTORY VISION IN MICROELECTRONICS MANUFACTURING: Industry-Specific Challenges and Opportunities
    http://www.appliedmaterials.com/nanochip/nanochip-fab-solutions/december-2017/microelectronics-manufacturing

    Reply
  20. Tomi Engdahl says:

    Predictions: Manufacturing, Devices And Companies
    https://semiengineering.com/predictions-manufacturing-devices-and-companies/

    New architectures, materials and equipment could have a huge impact on the chip industry.

    As we move beyond Moore’s Law, getting the most out of silicon is becoming as important as looking toward new nodes. “2018 will be the takeoff of 28 and 22nm FD-SOI SoC projects,” predicts Graham Bell, vice president of marketing for Uniquify, Inc.. “Excellent low-power footprint, RF performance, and lower manufacturing cost makes 22nm FD-SOI a real sweet spot for high-volume IoT and smart business and consumer applications.”

    “The annual E-beam Initiative survey show a clear trend toward inverse lithography technology (ILT) and multi-beam mask writing at the same time,” points out Aki Fujimura, CEO of D2S. “Whether for complex ILT patterns for multiple patterning of 193i lithography, or for EUV masks, which will have 30nm sub-resolution assist features (SRAFs) soon, multi-beam writing is needed on the mask side at the leading-edge. Survey respondents predict in 2018 a number of clear indications of production use of multi-beam technology for writing masks intended for production wafers – not just for test chips and test masks.”

    “2018 will see significant adoption of embedded monitoring technologies within the advanced nodes to help IC designers maintain competitiveness, despite increased effects of process variation and gate density,”

    For those pushing into the smaller nodes, additional challenges have to be overcome. “I predict a continuous increase in internal clock frequencies (e.g. >5GHz) and an increase in demand for higher data rates (e.g. >10Gbps) that will fuel the electromagnetic (EM) crosstalk challenge,”

    the use of advanced 2.5D and 3D packaging increases the risk of EM crosstalk by bringing multiple dies and the packaging layers in close proximity.

    “solid-state lighting sources are expected to outperform almost all other sources in terms of efficiency, offering potential energy savings of 50% or even more when used with intelligent light management systems. Standardization and acceptance of silicon photonics within IEEE standards will further accelerate industry adoption and deployment. With advancements in high-speed serial technology, whereby 112Gbps rates can be achieved over a single lane, silicon photonics is becoming a reality and more feasible with acceptable yields.”

    Devices and FPGAs
    RISC-V surprised many during 2017. Can it continue? “In 2018, the semiconductor industry will continue to see strong demand for products in new, innovative applications and implementations of free, open architecture design,” says Rick O’Connor, executive director of the RISC-V Foundation. “We’ll see companies leverage open-source architectures for Artificial Intelligence and machine learning applications – such as voice-based services, which are reshaping the way we get information, and autonomous vehicles, which are revolutionizing transportation

    IoT architectures are changing. “These devices will need to have high-performance processing capabilities and intelligence for localized decision making,” adds Gupta. “Connectivity solutions will need to be robust, energy-efficient and reliable, and be able to coexist with other standards as multiple protocols will become even more important. Companies that are successful in doing all of this will be in a great position to capitalize on the IoT wave.”

    Reply
  21. Tomi Engdahl says:

    E-beam Inspection Makes Inroads
    https://semiengineering.com/e-beam-inspection-makes-inroads/

    Technology is being used to examine hard-to-find defects, but speed remains an issue.

    Reply
  22. Tomi Engdahl says:

    The Future Of FinFETs
    How long can these devices continue?
    https://semiengineering.com/the-future-of-finfets/

    The number of questions about finFETs is increasing—particularly, how long can they continue to be used before some version of gate-all-around FET is required to replace them.

    This discussion is confusing in many respects. For one thing, a 7nm finFET for TSMC or Samsung is not the same as a 7nm finFET for Intel or GlobalFoundries. There are a bunch of other nodes being proposed, as well, including 8nm, 6nm and 4nm, but so far there are no reference points to indicate what those numbers really mean.

    What is clear, though, is that at some point finFETs will need to be replaced. Fins get taller and thinner at each new node, regardless of which numbers are used, and at some point they start running into fundamental physics.

    To some extent, this is a replay of conversations that began at 45nm, when chipmakers first began looking seriously at finFETs.

    It’s also not entirely clear when there will be enough customers and enough volume to drive demand at the next nodes. Mobile devices will continue to drive demand, but perhaps only at one or two foundries. High-performance computing, which now includes cloud, AI, cryptocurrency mining and augmented/virtual reality, as well as networking infrastructure, are starting to shift to different chip architectures and packaging approaches. While they may follow the feature shrinking roadmaps, they also may opt for much more heterogeneous approaches that rely more on parallelism than putting everything on one chip. In short, they will migrate to whichever path offers them better performance for the lowest price with a solid roadmap for future revs.

    Reply
  23. Tomi Engdahl says:

    What’s Next in 3D NAND?
    Landscape is changing in vendor base.
    https://semiengineering.com/whats-next-in-3d-nand/

    In 2018, the industry needs to keep a close eye on 3D NAND as the vendor base is in the midst of some major changes.

    The changes involve several partnerships, including the Toshiba/Western Digital and Intel/Micron duos. It also impacts the other 3D NAND players, namely Samsung and SK Hynix.

    But first, demand for NAND flash memory remains robust due to the onslaught of data in systems. Last year, though, the NAND flash market was in the midst of a challenging period. Product shortages, supply chain issues and a difficult technology transition were just some of the issues.

    As before, vendors are ramping up 3D NAND. 3D NAND is more difficult to fabricate than previously thought.

    The conversion from planar to 3D NAND is taking longer than expected. That’s been the case for the last two or three years.

    Reply
  24. Tomi Engdahl says:

    The Advantages Of FD-SOI Technology
    https://semiengineering.com/future-outlook-the-advantages-of-fully-depleted-silicon-on-insulator-fd-soi-technology/

    From a controversial technology to a contender that could capture the low-power/high-performance market.

    The purported performance advantage was stated to be ~35%, simply due to the capacitance reduction (no longer did the bottom junction capacitance play a role)

    the SOI advocates pursued their beloved technology, and the rest is history. SOI technology has been part of IBM’s main stream high-performance technology base through the 14nm node, including FinFETs on SOI.

    While IBM pursued SOI, every other semiconductor manufacturer stayed with bulk silicon technology. As we all know, bulk silicon technology is now the dominant technology in the high-performance CPU/GPU arena. However, as transistor scaling has continued through the last decade, the tradeoff between power and performance has become very important in today’s world of handheld electronics.

    the merits of SOI technology are being demonstrated in the extremely scaled SOI known as fully-depleted SOI, or FD-SOI. STMicroelectronics was the first major semiconductor company to demonstrate FD-SOI as a possible contender to capture the low-power/high-performance market. GlobalFoundries has followed this path as well, having introduced FD-SOI technology at the 22nm node, and is working on 12nm FD-SOI.

    There are many reasons for this interest in FD-SOI. It is a planar technology, so the process complexity is reduced compared to 3D technology. It also delivers the benefits of reduced silicon geometries, namely, power and performance, and provides additional functionality by enabling substrate bias.

    Reply
  25. Tomi Engdahl says:

    Korea is at full throttle on memory investments
    http://electroiq.com/blog/2018/01/korea-is-at-full-throttle-on-memory-investments/

    2017 proved to be record-setting year for the semiconductor industry. According to World Semiconductor Trade Statistics (WSTS), worldwide semiconductor market will have grown 20 percent, exceeding $400 billion for the first time. Among all major product segments, memory is the strongest, with sales are on track to grow 60 percent year-over-year, contributing to 30 percent of worldwide semiconductor sales in 2017. The consensus is that the growth momentum in memory will continue in 2018, driven by stable market demand and a favorable pricing environment.

    Korean memory makers are the biggest beneficiaries of this memory super cycle. According to the Korea International Trade Association (KITA), the memory export value from Korea grew 86 percent through November 2017 compared to a year earlier, indicating that Korean memory makers are gaining more market share. On the supply side of the market, both Samsung and SK Hynix saw record high capital expenditures in 2017, contributing to the revenue surge from Korean suppliers. The spending spree is expected to continue in 2018

    Reply
  26. Tomi Engdahl says:

    China’s Production Capacity of 300mm Wafer Will Approach 700,000 Pc/Month, Says TrendForce
    http://en.ctimes.com.tw/DispNews.asp?O=HK21HC7G5VYSAA00NO

    According to TrendForce’s latest report, Breakdown Analysis of China’s Semiconductor Industry, many fabs are being built in China with high capital expenditures, attracting attention from the industry. In particular, new fab construction projects like Silan Microelectronics and CanSemi etc. will intensify the competition and expand the production capacity of the industry.

    TrendForce estimates that China’s production capacity of 300mm wafer will reach nearly 700,000 pieces per month by the end of 2018, a 42.2% increase from the end of 2017;

    From 2016 to the end of 2017, the undergoing and planned new fabs in China totaled 28, of which 20 were for 300mm wafers and 8 were for 200mm wafers, says TrendForce. Most of these new fabs will go into operation this year.

    Reply
  27. Tomi Engdahl says:

    ISS 2018 Kicks Off: Among World’s Sharpest Minds Gather to Untangle Critical Issues Facing the Industry
    http://www.semi.org/en/iss-2018-kicks

    Reply
  28. Tomi Engdahl says:

    The Week In Review: Manufacturing
    https://semiengineering.com/the-week-in-review-manufacturing-190/

    Korea is on a spending spree for fab tools. In total, Samsung and SK Hynix are forecast to invest over $20 billion in fab tools worldwide in 2018, according to SEMI’s World Fab Forecast.

    Worldwide semiconductor revenue is forecast to total $451 billion in 2018, an increase of 7.5% from $419 billion in 2017, according to Gartner. This represents a near doubling of Gartner’s previous estimate of 4% growth for 2018.

    “Gartner has increased the outlook for 2018 by $23.6 billion compared with the previous forecast, of which the memory market accounts for $19.5 billion. Price increases for both DRAM and NAND flash memory are raising the outlook for the overall semiconductor market.”

    Reply
  29. Tomi Engdahl says:

    Chief Executive of Texas Instruments, Rich Templeton, to Step Down
    http://www.electronicdesign.com/analog/chief-executive-texas-instruments-rich-templeton-step-down?NL=ED-003&Issue=ED-003_20180119_ED-003_651&sfvc4enews=42&cl=article_2&utm_rid=CPG05000002750211&utm_campaign=14995&utm_medium=email&elq2=fa5ce38127614a8593efbe5935bf77a3

    Texas Instruments said that its chief executive, Rich Templeton, is stepping down after more than 13 years in the captain’s chair of the company he turned into the largest manufacturer of analog chips. On Thursday, the company chose Brian Crutcher, its chief operating officer, to replace him.

    Reply
  30. Tomi Engdahl says:

    In Europe, Qualcomm Passes Regulators Probing NXP Semiconductors Deal
    http://www.electronicdesign.com/embedded-revolution/europe-qualcomm-passes-regulators-probing-nxp-semiconductors-deal

    Qualcomm has been cleared by European regulators to complete its acquisition of NXP Semiconductors. The antitrust approval puts Qualcomm another step closer to officially closing the deal, which has been complicated by begrudged investors and a controversial battle for control of Qualcomm’s board.

    On Thursday, Qualcomm said that it had reached a compromise with regulators in Europe not to increase prices for NXP’s near field communication chips, which can be embedded in smartphones to enable touchless payments in stores. The company also agreed not to acquire certain patents from NXP, based in Eindhoven, the Netherlands.

    Qualcomm has been scrutinized and penalized for unfair patent licensing practices around the world, including in the U.S.

    Passing regulators is a rare victory for Qualcomm, which has been buffeted by antitrust fines around the globe and a bitter legal battle with Apple over its licensing fees. It is also trying to withstand a hostile takeover attempt by rival Broadcom. Last year, the company rejected Broadcom’s $105 billion bid as well as the 11 directors that Broadcom nominated to take over Qualcomm’s board.

    The company’s message to investors to recent weeks has been that Qualcomm can thrive without Broadcom.

    Reply
  31. Tomi Engdahl says:

    Best Practices for Integrating eGaN FETs
    http://www.powerelectronics.com/power-management/best-practices-integrating-egan-fets?NL=ED-003&Issue=ED-003_20180119_ED-003_651&sfvc4enews=42&cl=article_1&utm_rid=CPG05000002750211&utm_campaign=14995&utm_medium=email&elq2=fa5ce38127614a8593efbe5935bf77a3

    Best design practices utilize the advantages offered by eGaN FETs, including printed circuit board (PCB) layout and thermal management.

    As GaN transistor switching charges continue to decrease, system parasitics must also be reduced to achieve maximum switching speeds and minimize parasitic ringing typical of power converters.

    To minimize the common source inductance added by PCB layout, it is recommended that the gate driver loop and high-frequency power loop will be located where they have very little interaction.

    To reduce the high-frequency power loop inductance contributed by the PCB, an optimal layout was developed that utilizes the first inner layer,1 shown in the bottom left of Fig. 2, as a high-frequency power loop return path.

    Reply
  32. Tomi Engdahl says:

    Terrific Year, Terrific Outlook
    But there’s work to do to keep the good times rolling.
    https://semiengineering.com/terrific-year-terrific-outlook/

    2017 was a terrific year. Chip revenues closed at nearly $440B, an impressive 22 percent year-over-year growth.

    The rapidly expanding digital economy continues to foster innovation with new demand from the IoT, virtual and augmented reality (VR/AR), automobile infotainment and driver assistance, artificial intelligence (AI) and Big Data, among others. With the explosion in data usage, memory demand is nearly insatiable, holding memory device ASPs high and prompting continued heavy investment in new capacity.

    2018 is forecast to be another terrific year. IC revenues are expected to increase another 8 percent and semiconductor equipment will grow 11 percent.

    So, why worry?
    Can the industry sustain this growth? The electronics manufacturing supply chain has demonstrated it can generally scale and expedite production to meet the massive new investment projects. The cracks starting to show are in the areas of talent, data management, and Environment, Health, and Safety (EH&S).

    To ensure we don’t slow growth, the industry will need to work together in 2018 in these three key areas:

    Talent development needs to rapidly accelerate by expanding currently working programs and adding additional means to fill the talent funnel.

    Data management is a broad term. Big Data, machine learning, and AI are terms that today mean different things to different people in our supply chain.

    EH&S activity must intensify to maintain safe operations and to eliminate business interruptions from supply chain disruptions.

    MEMS is forecast to be a $19B industry in 2018.

    Reply
  33. Tomi Engdahl says:

    EUV, 7-nm Roadmaps Detailed
    7 nm looks good but resists lag at 5 nm
    https://www.eetimes.com/document.asp?doc_id=1332860

    Reply
  34. Tomi Engdahl says:

    SiC MOSFETs in hard-switched half-bridges
    http://www.electronics-know-how.com/article/2591/sic-mosfets-in-hard-switched-half-bridges

    Silicon carbide (SiC) MOSFETs are making major inroads into solar inverters. Engineers are keen to achieve high efficiency across the entire load range. SiC MOSFETs’ low on-state resistance and low switching losses support those efforts, especially when the focus is on achieving high efficiency at light loads.

    The intrinsic body diode is a very rugged component that enables engineers to install SiC MOSFETs in hard-switched, totem-pole configurations that use synchronous rectification to achieve highest efficiency.

    Reply
  35. Tomi Engdahl says:

    Will Today’s Microwave Oven Soon be a Thing of the Past?
    Solid-state RF energy has the potential to drastically change the way we cook food.
    http://www.mwrf.com/systems/will-today-s-microwave-oven-soon-be-thing-past?code=UM_Classics01218&utm_rid=CPG05000002750211&utm_campaign=14974&utm_medium=email&elq2=9f5a8aa07c6945cba42e7df00fa98fd0

    Because the magnetron-based microwave oven has been used for so long and is so prevalent, it may be difficult to believe that it could simply go away. However, solid-state RF energy does offer several clear benefits that cannot be ignored. For one, it allows food to be cooked more precisely in comparison with the traditional magnetron ovens.

    The RF Energy Alliance (RFEA) is a non-profit association that is determined to unlock the potential of solid-state RF energy

    MACOM, one company at the forefront of RF energy, recently introduced its RF energy toolkit.

    The RF Energy Alliance
    http://rfenergy.org/

    The RF Energy Alliance is a non-profit technical association comprised of companies dedicated to realizing solid-state RF energy’s true potential as a clean, highly efficient and controllable heat and power source. Members share the vision of building a fast-growing, innovative marketplace around the sustainable technology, thereby contributing to quality of life across many application areas.

    Reply
  36. Tomi Engdahl says:

    The Future Of FinFETs
    How long can these devices continue?
    https://semiengineering.com/the-future-of-finfets/

    The number of questions about finFETs is increasing—particularly, how long can they continue to be used before some version of gate-all-around FET is required to replace them.

    This discussion is confusing in many respects. For one thing, a 7nm finFET for TSMC or Samsung is not the same as a 7nm finFET for Intel or GlobalFoundries. There are a bunch of other nodes being proposed, as well, including 8nm, 6nm and 4nm, but so far there are no reference points to indicate what those numbers really mean.

    Reply
  37. Tomi Engdahl says:

    Nodes Vs. Nodelets
    https://semiengineering.com/nodes-vs-node-lets/

    Growing number of process options is creating confusion across the semiconductor industry.

    Foundries are flooding the market with new nodes and different process options at existing nodes, spreading confusion and creating a variety of challenges for chipmakers.

    There are full-node processes, such as 10nm and 7nm, with 5nm and 3nm in R&D. But there also is an increasing number of half-nodes or “node-lets” being introduced, including 12nm, 11nm, 8nm, 6nm and 4nm.

    Node-lets are derivatives of full-node processes. For example, 12nm and 11nm are slightly more advanced versions of 16nm/14nm. And 8nm and 6nm fall under the same category as 7nm.

    This becomes even less intuitive because node names don’t reflect the actual physical dimensions of the transistor specs, as they did in the past.

    Understanding the node numbers is the easy part. For foundry customers, the challenge is to decide which process to use for a design and whether it provides any value. Customers can’t afford to develop a new chip for every node amid rising IC design costs. “So you have to prioritize and choose,”

    For foundries, the challenge is to ramp up all of these new processes. Slated for high-volume production in 2018, the new 10nm and 7nm processes are based on scaled and more complex versions of today’s 16nm/14nm finFET transistors.

    The first version of 10nm/7nm will use optical lithography and multiple patterning, which introduces more mask layers and smaller feature sizes into the mix. Finding the defects is more difficult.

    And variation between different manufacturing equipment is becoming troublesome at 10nm/7nm.

    Clearly, the industry faces some challenges. “The foundry production use of 7nm could be disappointing,”

    Over time, chipmakers are expected to iron out the problems. Then, to simplify the process, vendors hope to insert extreme ultraviolet (EUV) lithography in the second phase of 7nm and/or at 5nm. EUV still has several challenges, however.

    FinFETs are expected to scale to 5nm. Beyond that, chipmakers are working on various next-generation transistor types.

    Chips have 10 to 15 layers of copper interconnects. Generally, the second metal layer, called metal two (M2), has the tightest pitch. “

    At each node, chipmakers scaled the transistor specs by 0.7X. Using lithography techniques to shrink the transistor dimensions, the industry delivered a 15% performance boost at each node, plus a 35% cost reduction, a 50% area gain and a 40% power reduction. The formula worked as chipmakers marched down the various process nodes with numerical nanometer designations, such as 90nm, 65nm, 45nm and so on.

    Things began to fall apart after 28nm, however. Intel continues to follow the 0.7X scaling trend. But at 16nm/14nm, others deviated from the traditional equation and relaxed the metal pitch. “Node names used to mean something. They used to be pinned to metal pitches,” Wei said. “At some point, we started to drift away from the pitch, focusing more on the next node and features.”

    The average IC design cost for a 16nm/14nm chip is $80 million, compared to $30 million for a 28nm planar device, according to Gartner. It costs $271 million to design a 7nm chip, according to Gartner.

    Reply
  38. Tomi Engdahl says:

    LTC7106 – A 7-Bit Current DAC with PMBus Interface
    http://www.linear.com/product/LTC7106

    Features

    ±0.8% IDAC Positive Output Current Accuracy (Over Temp)
    ±1.5% IDAC Negative Output Current Accuracy (Over Temp)
    PMBus/I2C Compliant Serial Interface
    Input Voltage Range: 2.5V to 5.5V
    High Impedance at IDAC Output When Disabled
    Wide IDAC Operation Voltage (0.4V to 2.0V)
    7-Bit Programmable DAC Output Current for DC/DC VOUT Control
    Wide Range IDAC Output Current: ±16μA to ±256μA
    Programmable Slew Rate: 500ns ~ 3ms per Bit
    Available in a 10-Lead (3mm × 2mm) DFN Package

    Reply
  39. Tomi Engdahl says:

    UMC Expects AI to Grow to $3 Billion Business
    https://www.eetimes.com/document.asp?doc_id=1332882

    United Microelectronics Corp. (UMC) expects artificial intelligence (AI) to contribute $3 billion to company revenue by 2021 as demand for edge computing and automotive devices takes off.

    AI is forecast to be one of the fastest growing markets during the next few years as more companies adopt machine learning in everything from autonomous vehicles to cryptocurrency mining. The global AI market for semiconductors is projected to grow at a compound annual rate of 63 percent between 2016 and 2022, reaching $16 billion by 2022, according to research firm MarketsandMarkets.

    UMC sees the driving forces behind the foundry business shifting from smartphones to AI and autonomous vehicles.

    Reply
  40. Tomi Engdahl says:

    Micron Puts 64-Layer 3D in Enterprise SSDs
    https://www.eetimes.com/document.asp?doc_id=1332883

    Micron Technology’s first enterprise SATA SSD using its 64-layer 3D NAND isn’t a whole lot different than its predecessor, and that’s the point.

    The company just introduced its 5200 series SSDs designed for virtualized workloads that rotating media can’t handle, such as online transaction processing, virtual desktop infrastructure and media streaming.

    the company believes it’s the first 64-layer 3D NAND SSD for the enterprise market

    Despite a lot of discussion that this year will see a tipping point in NVMe adoption, there’s still a strong demand for SATA SSDs, said Wong, with prices even going up, thanks in part to NAND flash shortages. “Even with the price increases, on the enterprise side the total cost of ownership still makes sense,” he said.

    And although 8TB is now the highest capacity available for SATA SSDs, the mainstream is still using one or two terabyte drives, he said. “When you get to four and eight, companies are thinking of moving to NVMe,” Wong said.

    Reply
  41. Tomi Engdahl says:

    Semiconductor M&A Fervor Cools
    https://www.eetimes.com/author.asp?section_id=36&doc_id=1332886

    After a two-year buying spree unprecedented in magnitude, the frenzy of merger and acquisition activity that dominated the semiconductor industry cooled – somewhat – in 2017, with the number of targets considered ripe for acquisition depleted and regulatory scrutiny heightened.

    The total value of semiconductor industry M&A deals announced last year fell to about $27.7 billion, down from $107.3 billion in 2015 and $99.8 billion in 2016, according to market watcher IC Insights.

    IC Insights’ numbers don’t factor in the $103 billion bid made by Broadcom to acquire Qualcomm late last year. That offer was rejected by Qualcomm’s board

    As it stands, 2017 featured about two dozen announced deals, but 87 percent of the total value for the year came from just two: the $18 billion deal to acquire Toshiba’s memory chip unit by a consortium
    and the $6 billion acquisition of Cavium by Marvell.

    The Bain-Toshiba and Marvell-Cavium acquisitions were the only semiconductor industry M&A deals announced in 2017 that exceeded the $1 billion threshold.

    Reply
  42. Tomi Engdahl says:

    AI Silicon Preps for 2018 Debuts
    A dozen startups chase deep learning
    https://www.eetimes.com/document.asp?doc_id=1332877

    Deep neural networks are like a tsunami on the distant horizon.

    Given their still-evolving algorithms and applications, it’s unclear what changes deep neural nets (DNNs) ultimately will bring. But their successes thus far in translating text and recognizing images and speech make it clear they will reshape computer design, and the changes are coming at a time of equally profound disruptions in how semiconductors are designed and manufactured.

    The first merchant chips tailored for training DNNs will ship this year.

    Reply
  43. Tomi Engdahl says:

    Chipmakers Rally in Talent War
    Cloud giants dominate with STEM grads
    https://www.eetimes.com/document.asp?doc_id=1332865

    The semiconductor industry needs a lot of good engineers and a makeover to attract them. A veteran executive put out a call to action at the Industry Strategy Summit here to help launch an initiative to do it.

    “We were a clearing house for the best and brightest once, but today it’s a war for talent, and we are a step or two behind,” said Dan Durn, the chief financial officer of Applied Materials. “Today, kids dream about Google, Facebook, and Apple; they don’t dream about us, and we need to change that.”

    “We need to revive the founder-CEO culture that drove our early days. As our industry matured, operational efficiencies and a whole set of different DNA took hold — it was necessary for us as an industry, but the pendulum needs to swing back.”

    About 85% of chip vendors need new kinds of talent to keep pace with the rise of digital operations powered by automated systems, big data, and machine learning. However, 77% of them report a shortage of talent, particularly for EEs, according to studies by Deloitte Consulting done for the SEMI trade group.

    “The semiconductor industry is pushing the boundaries of physics with devices four to five atoms thick, yet there’s a perception that it’s old and dated,”

    Intel and Samsung have high ratings with recent grads, but so do AirBnB, Netflix, LinkedIn, and other tech companies. Meanwhile, “the list of the bottom 15 companies in brand recognition by students is dominated by semiconductor companies that most college grads have not heard about,” said Richard, including ASML, KLA-Tencor, Lam, SK Hynix, and TSMC.

    “A big part of the challenge is in awareness — we need to help them see the path.”

    Reply
  44. Tomi Engdahl says:

    EU Press Room:
    EU fines Qualcomm €997M for abusing market dominance, says it paid Apple to buy LTE baseband chipsets from Qualcomm exclusively; Qualcomm to appeal

    Antitrust: Commission fines Qualcomm €997 million for abuse of dominant market position
    http://europa.eu/rapid/press-release_IP-18-421_en.htm

    The European Commission has fined Qualcomm €997m for abusing its market dominance in LTE baseband chipsets. Qualcomm prevented rivals from competing in the market by making significant payments to a key customer on condition it would not buy from rivals. This is illegal under EU antitrust rules.

    Commissioner Margrethe Vestager, in charge of competition policy, said: “Qualcomm illegally shut out rivals from the market for LTE baseband chipsets for over five years, thereby cementing its market dominance. Qualcomm paid billions of US Dollars to a key customer, Apple, so that it would not buy from rivals. These payments were not just reductions in price – they were made on the condition that Apple would exclusively use Qualcomm’s baseband chipsets in all its iPhones and iPads.

    Reply
  45. Tomi Engdahl says:

    Samsung and Apple are already buying every fifth component

    Last year, pullout components were sold in the world with almost 420 billion dollars. One fifth of the whole pot went to Apple and Samsung devices, says Gartner. The Korean leader now buys the most components most clearly.

    According to Gartner, Samsung bought components for $ 43.1 billion last year. This is 10.3 percent of the total sales of semiconductor products. Apple’s figures were 38.8 billion and 9.2 percent. Both purchases grew clearly during the year.

    Third, Dell bought the most components, totaling $ 15.7 billion. Lenovo’s purchases were $ 14.7 billion and Huawe’s $ 14.3 billion. Together, these three bought 10.6 percent of all components.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=7455&via=n&datum=2018-01-25_15:34:56&mottagare=30929

    Reply
  46. Tomi Engdahl says:

    Power Semiconductors Market Update
    Automotive and industrial markets lead the way in 2018 and beyond.
    http://www.powerelectronics.com/community/power-semiconductors-market-update?NL=ED-003&Issue=ED-003_20180108_ED-

    003_96&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=14790&utm_medium=email&elq2=781584aeedea47a2a714a4543c24ab6c

    Highlights

    The global market for power semiconductor discretes, power modules, and power integrated circuits (ICs) has enjoyed two years of expansion, growing 3.9% in 2016 and expectations of 7.5% growth in 2017.
    Revenue from power discretes and modules grew 5.3% in 2016, with a forecast of 8% growth in 2017.
    Revenue from power ICs grew 2.8% in 2016, rising to an expected 7.1% growth in 2017.
    The total power semiconductor market is forecast to continue to grow at a slower rate of 4.1% in 2018.

    Reply
  47. Tomi Engdahl says:

    TSMC to break ground for 5nm fab this week
    http://www.digitimes.com/news/a20180123PD212.html

    Taiwan Semiconductor Manufacturing Company (TSMC) is expected to break ground this week for the construction of its new 5nm fab in the Southern Taiwan Science Park (STSP), where its 3nm fab is also slated to see construction start in 2020. With the clear technological development and operational blueprints for the next few years, the foundry house seeks to further consolidate its leading market share, according to industry sources.

    TSMC chairman Morris Chang will preside over the upcoming ground-breaking ceremony. This will be the last ceremony of its kind to be presided over by Chang before his retirement in mid-June.

    Reply
  48. Tomi Engdahl says:

    Texas Instruments’ revenue growth slowdown rattles investors
    https://www.reuters.com/article/us-texas-instrument-results/texas-instruments-revenue-growth-slowdown-rattles-investors-idUSKBN1FC2ZL

    Texas Instruments Inc (TXN.O) on Tuesday posted the slowest revenue growth in four quarters on softer demand for its chips used in communications equipment, disappointing investors who expected sales for automotive chips to drive strong results.

    Reply
  49. Tomi Engdahl says:

    Higher-end MOSFET chip prices may stay put in 1Q18
    http://www.digitimes.com/news/a20180123PD213.html

    With the global PC market entering the traditional slow season and demand from China’s automotive electronics market turning weak, medium- to high-power MOSFET chips have seen shipment delivery lead time shortened and tight supply eased, making price hikes unlikely in the first quarter of 2018, compared to a possible small increase in quotes for small-power and entry-level MOSFET chips, according to industry sources.

    The sources said that major international MOSFET chipmakers including STMicroelectronics, Infineon, NXP, TI and AOS have moved to shorten their delivery lead time a little, compared to shipment schedules in the fourth quarter. In particular, high-power MOSFET chipset solutions, which saw the tightest supply in 2017, are now readily available, indicating a significant improvement in supply shortfall.

    Reply
  50. Tomi Engdahl says:

    EU fines chipmaker Qualcomm $1.2 billion over exclusivity deal with Apple
    https://www.reuters.com/article/us-eu-qualcomm/eu-fines-chipmaker-qualcomm-1-2-billion-over-exclusivity-deal-with-apple-idUSKBN1FC2WP

    U.S. chipmaker Qualcomm Inc was hit with a 997 million euro ($1.23 billion) fine by European Union antitrust regulators on Wednesday for paying Apple Inc to use only its chips, blocking out rivals such as Intel Corp .

    Reply

Leave a Comment

Your email address will not be published. Required fields are marked *

*

*