Electronics trends for 2018

Here are some of my collection of newest trends and predictions for year 2018. I have not invented those ideas what will happen next year completely myself. I have gone through many articles that have given predictions for year 2018. Then I have picked and mixed here the best part from those articles (sources listed on the end of posting) with some of my own additions to make this posting.This article contains very many quotations from those source articles (hopefully all acknowledged with link to source).

The general trend in electronics industry is that the industry growth have been driven by mobile industry. Silicon content in smartphones and other mobile devices is increasing as vendors add greater functionality. Layering on top of that are several emerging trends such as IoT, big data, AI and smart vehicles that are creating demand for greater computing power and expanding storage capacity.

 

Manufacturing trends

According to Foundry Challenges in 2018 article the silicon foundry business is expected to see steady growth in 2018. The growth in semiconductor manufacturing will remain steady, but there will be challenges in the manufacturing capacity and  expenses to move to the next nodes. For most applications, unless you must have highest levels of performance, there may not be as compelling a business case to focus on the bleeding-edge nodes. Over the last two years, the IC industry has experienced an acute shortage of 200mm fab capacity (legacy MCU, power, sensors, 6-micron to 65nm). In 2018, 200mm capacity will remain tight. An explosion in 200mm demand has set off a frenzied search for used semiconductor manufacturing equipment that can be used at older process nodes. The problem is there is not enough used equipment available. The profit margins in manufacturing are so thin in markets served by those fabs that it’s hard to justify paying current rising equipment prices, and newcomers may have a tough time making inroads. Foundries with fully depreciated 200mm equipment and capacity already are seeing increased revenues in their 200mm business.The specialty foundry business is undergoing a renaissance, thanks to the emergence of 5G and automotive.

300mm is expected to follow a similar path for lack of capacity because 300mm fabs already produce leading-edge chips and more mainstream 300mm demand is driven by MCUs, wireless communications and storage applications. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm

In 2017, marking the first time that the semiconductor equipment market has exceeded the previous market high of US$47.7 billion set in 2000. Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAM. In 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017. In 2018, 7.5 percent growth is expected to result in sales of US$60.1 billion for the global semiconductor equipment market – another record-breaking year. Demand looks solid across the three main growth drivers for fab tool vendors—DRAM, NAND and foundry/logic.
Rising demand for chips is hitting the IC packaging supply chain, causing shortages of select manufacturing capacity, various package types, leadframes and even some equipment. Spot shortages for some IC packages began showing up in 2017, but the problem has been growing and spreading since then, so  packaging customers may encounter select shortages well into 2018Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018.

Market for advanced packaging begins to diverge based on performance and price. Advanced Packaging is now viewed as the best way to handle large amounts of data at blazing speeds.

Moore’s law

Many recent publications say Moore’s Law is dead. Though Moore’s Law is dead may be experiencing some health challenges, it’s not time to start digging the grave for the semiconductor and electronics market yet

Even smaller nodes are still being taken to use in high end chips. The node names are confusing. Intel’s 10nm technology is roughly equivalent to the foundry 7nm node.In 2018, Intel is expected to finally ramp up 10nm finally in the first half of 2018. In addition, GlobalFoundries, Samsung and TSMC will begin to ship their respective 7nm finFET processes. On the leading edge, GlobalFoundries, Intel, Samsung and TSMC start migrating from the 16nm/14nm to the 10nm/7nm logic nodes. It is expected that some chip-makers face some challenges on the road. Time will tell if GlobalFoundries, Samsung and TSMC will struggle at 7nm. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm. 7nm is projected to generate sales from $2.5 billion to $3.0 billion in 2018. Over time 10nm/7nm is expected to be a big and long-running node. Suppliers of FPGAs and processors are expected to jump on 10nm/7nm.

South Korea’s Samsung Electronics said it has commenced production of the second generation of its 10nm-class 8-Gb DDR4 DRAM. Devices labeled 10nm-class have feature sizes as small as 10 to 19 nanometers. With the continued need for shrinking pattern dimensions, semiconductor manufacturers continue to implement more complex patterning techniques, such as advanced multi-patterning, for the 10nm design node and beyond. They also are investing significant development effort in readying EUV lithography for production at the 7/5nm design nodesSamsung is planning to begin transitioning to EUV for logic chips next year at the 7nm node, although it is unclear when the technology will be put into production for DRAM.

There will be talk on even smaller nodes. FinFETs will get extended to at least to 5nm, and possibly 3nm in next 5 years. The path to 5nm loks pretty clear. FinFETs will get extended at least to 5nm. It’s possible they will get extended to 3nm. EUV will be used at new nodes, followed by High NA Lithography. New smaller nodes challenges the chip design as abstractions become more difficult at 7nm and beyond. Models are becoming more difficult to develop, integrate and utilize effectively at 10/7nm and beyond as design complexity, process variation and physical effects add to the number of variables that need to be taken into account. Materials and basic structures may diverge by supplier, at 7 nm and beyond. Engineering and scientific teams at 3nm and beyond will require completely different mixes of skills than today.

Silicon is still going strong, but the hard fact is that CMOS has been running out of steam for several nodes, and that becomes more obvious at each new node. To extend into new markets and new process nodes Chipmakers Look To New Materials. There are a number of compounds in use already (generally are being confined to specific niche applications), such as gallium arsenide, gallium nitride, and silicon carbide. Silicon will be supplemented by 2D materials to extend Moore’s Law. Transition metal dichalcogenides (TMDCs), a class of 2D materials derived from basic elements—principally tellurium, selenium, sulfur, and oxygen—are being widely explored by researchers. TMDCs are functioning as semiconductors in conjunction with graphene. Graphene, the wonder material rediscovered in 2004, and a host of other two-dimensional materials are gaining ground in manufacturing semiconductors as silicon’s usefulness begins to fade. Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. Future progress increasingly will require a mix of different materials and disciplines, but silicon will remain a key component.

Interconnect Materials need to to be improved. For decades, aluminum interconnects were the industry standard. In the late 1990s, chipmakers switched to copper. Over the years, transistors have decreased dramatically in size, so interconnects also have had to scale in size leading to roadblock known as the RC challenge. Industry is investing significant effort in developing new approaches to extend copper use and finding new metals. There’s also some investigation into improvements on the dielectric side. The era of all-silicon substrates and copper wires may be coming to an end.

Application markets

Wearables are a question mark. Demand for wearables slowed down in 2017 so much that smart speakers likely outsold wearable devices in 2017 holiday season.  eMarketer is estimating that usage of wearable will grow just 11.9 percent in 2018, rising from 44.7 million adult wearable users in 2017 to 50.1 million in 2018. On the other hand market research firm IDC estimates that the shipments of wearable electronics devices are projected to more than double over the next five years as watches displace fitness trackers as the biggest sellers. IDC forecasts that wearables shipments will increase at a compound annual growth rate of 18.4 percent between 2017 and 2021, rising from 113.2 million this year to 222.3 million in 2021. At the same time fitness trackers are expected to become commodity product. Tomorrow’s wearables will become more fully featured and multi-functional.

The automotive market for semiconductors is shifting into high gear in 2018. Right now the average car has about $350 worth of semiconductor content, but that is projected to grow another 50% by 2023 as the overall automotive market for semiconductors grows from $35 billion to $54 billion. The explosion of drive-by-wire technology, combined with government mandates toward fully electric powertrains, has changed this paradigm—and it impacts more than just the automotive industry. Consider implications beyond the increasingly complex vehicle itself, including new demands on supporting infrastructure. The average car today contains up to 100 million lines of code. Self-driving car will have considerably more code in it. Software controls everything from safety critical systems like brakes and power steering, to basic vehicle controls like doors and windows. Meeting ISO 26262 Software Standards is needed but it will not make the code bug free. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC. The shift to autonomous vehicles marks a major shift in the supply chain—and a major opportunity.

Many applications have need for a long service life — for example those deployed within industrial, scientific and military industries. In these applications, the service life may exceed that of component availability. Replacing an advanced, obsolete components in a design can be very costly, potentially requiring an entire redesign of the electronic hardware and software. The use of programmable devices helps designers not only to address component obsolescence, but also to reduce the cost and complexity of the solution. Programmable logic devices are provided in a range of devices of different types, capabilities and sizes, from FPGAs to System on Chips (SoC) and Complex Programmable Logic Devices (CPLD). The obsolete function can be emulated within the device, whether it is a logic function implemented in programmable logic in a CPLD, FPGA or SoC, or a processor system implemented in an FPGA or SoC.

Become familiar with USB type C connector. USB type C connector is becoming quickly more commonplace than any other earlier interface. In the end of 2016 there were 300 million devices using a USBC connection – a big part was smartphones, but the interface was also widespread on laptops. With growth, the USBC becomes soon the most common PC and peripheral interface. Thunderbolt™ 3 on USBC connector promises to fulfill the promise of USB-C for single-cable docking and so much more.

 

Power electronics

The power electronics market continues to grow and gain more presence across a variety of markets2017 was a good year for electric vehicles and the future of this market looks very promising. In 2017, we saw also how wireless charging technology has been adopted by many consumer electronic devices- including Apple smart phones. Today’s power supplies do more than deliver clean and stable dc power on daily basis—they provide advanced capabilities that can save you time and money.

Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. At the moment, the number of applications for those materials is steadily increasing in the automotive and military industry. Expect to see more adoption of SiC and GaN materials in automotive market.

According to Battery Market Goes Bigger and Better in 2018 article advances in battery technologies hold the keys to continuing progress in portable electronics, robotics, military, and telecommunication applications, as well as distributed power grids. It is difficult to see lithium-ion based batteries being replaced anytime soon, so the advances in battery technology are primarily through the application of lithium-ion battery chemistries. New battery protection for portable electronics cuts manufacturing steps and costs for Lithium-ion.

Transparency Market Research analysts predict that the global lithium-ion battery market is poised to rise from $29.67 billion in 2015 to $77.42 billion in 2024 with a compound annual growth rate of 11.6 %. That growth has already spread from the now ubiquitous consumer electronics segment to automotive, grid energy, and industrial applications. Dramatic increase is expected for battery power for the transportation, consumer electronic, and stationary segments. According to Bloomberg New Energy Finance (BNEF), the global energy-storage market will double six times between 2016 and 2030, rising to a total of 125 G/305 gigawatt-hours. In 2018, energy-storage systems will continue proliferating to provide backup power to the electric grid.

Memory

Memory business boomed in 2017 for both NAND and DRAM. The drivers for DRAM are smartphones and servers. Solid-state drives (SSDs) and smartphones are fueling the demand for NAND.  Both the DRAM and NAND content in smartphones continues to grow, so memory business will do well in 2018.Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAMIn 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017.

NAND Market Expected to Cool in Q1 from the crazy year 2017, but it is still growing well because there is increasing demand. The average NAND content in smartphones has been growing by roughly 50% recently, going from approximately 24 gigabytes in 2016 to approximately 38 gigabytes today.3D NAND will do the heavy memory lifting that smartphone users demand. Contract prices for NAND flash memory chips are expected to decline in during the first quarter of 2018 as a traditional lull in demand following the year-end quarter.

Lots of 3D NAND will go to solid state drives in 2018. IDC forecasts strong growth for the solid-state drive (SSD) industry as it transitions to 3D NAND.  SSD industry revenue is expected to reach $33.6 billion in 2021, growing at a CAGR of 14.8%. Sizes of memory chips increase as number of  layer in 3D NAND are added. We’ve already scaled up to 48 layers. Does this just keep scaling up, or are there physical limits here? Maybe we could see a path to 256 layers in few years.

Memory — particular DRAM — was largely considered a commodity business. Though that it’s really not true in 2017. DRAM memory marked had boomed in 2017 at the highest rate of expansion in 23 years, according to IC Insights. Skyrocketing prices drove the DRAM market to generate a record $72 billion in revenue, and it drove total revenue for the IC market up 22%. Though the outlook for the immediate future appears strong, a downturn in DRAM more than likely looms in the not-too-distant future. It will be seen when there are new players on the market. It is a largely unchallenged assertion that Chinese firms will in the not so distant future become a force in semiconductor memory market. Chinese government is committed to pumping more than $160 billion into the industry over a decade, with much of that ticketed for memory startups.

There is search for faster memory because modern computers, especially data-center servers that skew heavily toward in-memory databases, data-intensive analytics, and increasingly toward machine-learning and deep-neural-network training functions, depend on large amounts of high-speed, high capacity memory to keep the wheels turning. The memory speed has not increased as fast as the capacity. The access bandwidth of DRAM-based computer memory has improved by a factor of 20x over the past two decades. Capacity increased 128x during the same period. For year 2018 DRAM remains a near-universal choice when performance is the priority. There has been some attempts to very fast memory interfaces. Intel the company has introduced the market’s first FPGA chip with integrated high-speed EMBED (Embedded Multi-Die Interconnect Bridge): The Stratix 10 MX interfaces to HMB2 memory (High Memory Bandwidth) that offers about 10 times faster speed than standard DDR-type DIMM.

There is search going on for a viable replacement for DRAM. Whether it’s STT-RAM or phase-change memory or resistive RAM, none of them can match the speed or endurance of DRAM. Necessity is the mother of invention, and we see at least two more generations after 1x. XPoint is also coming up as another viable memory solution that could be inserted into the current memory architecture. It will be interesting to see how that plays out versus DRAM.

5G and IoT

5G something in it for everyone. 5G is big.  5G New Radio (NR) wireless technology will ultimately impact everyone in the electronics and telecommunications industries. Most estimates say 2020 is when we will ultimately see some real 5G deployments on a scale. In the meantime, companies are firming up their plans for whatever 5G products and services they will offer. Though test and measurement solutions will be key in the commercialization cycle. 5G is set to disrupt test processes. If 5G takes off, the technology will propel the development of new chips in both the infrastructure and the handset. Data centers require specialty semiconductors from power management to high-speed optical fiber front-ends. 5G systems will drive more complexity in RF front-ends .5G will offer increased capacity and decreased latency for some critical applications such as vehicle-to-vehicle (V2V) or vehicle-to-infrastructure (V2I) communications for advanced driver assistance systems (ADAS) and self-driving vehicles. The big question is whether 5G will disrupt the landscape or fall short of its promises.

Electronics manufacturers expect a lot from Internet of Thing. The evolution of intelligent electronic sensors is creating a revolution for IoT and Industrial IoT as companies bring new sensor-based, intelligent systems to market. The business promise is that the proliferation of smart and connected “things” in the Industrial Internet of Things (IIoT) provides tremendous opportunities for increased performance and lower costs. Industrial Internet of Things (IIoT) has a market forecast approaching $100 billion by 2020. Turning volumes of factory data into actionable information that has value is essential. Predictive maintenance and asset tracking are two big IoT markets to watch in 2018 because they will provide real efficiencies and improved safety. It will be about instrumenting our existing infrastructures with sensors that improve their reliability and help predict failures. It will be about tracking important assets through their lifecycles.

A new breed of designers has arrived that is leveraging inexpensive sensors to build the intelligent systems at the edge of the Internet of Things (IoT). They work in small teams, collaborate online, and they expect affordable design tools that are easy to use in order to quickly produce results. Their goal is to deliver a functioning device or a proof-of-concept to their stakeholders while spending as little money as possible to get there. We need to become multi-functional engineers who can comfortably work in the digital, RF, and system domains.

The Io edge sensor  device usually needs to be cheap. Simple mathematical reasoning suggests that the average production cost per node must be small, otherwise the economics of the IoT simply are not viable. Most suppliers to the electronics industry are today working under the assumption that the bill-of-materials (BoM) cost of a node cannot exceed $5 on average. While the sensor market continues to garner billions of dollars, the average selling price of a MEMS sensor, for example, is only 60 cents.

Designing a well working and secure IoT system is still hard. IoT platforms are very complex distributed systems and managing these distributed systems is often an overlooked challenge. When designing for the IoT, security needs to be addressed from the Cloud down to each and every edge device. Protecting data is both a hardware and a software requirement, as more data is being stored and analyzed in edge devices and gateways.

The continued evolution of powerful embedded processors is enabling more functionality to be consolidated into single heterogeneous multicore devices. You will see more mixed criticality designs – those designs which contain both safety-critical and non-safety critical processes running on the same chip. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC.

AI

There is clearly a lot of hype surrounding machine learning (ML) and artificial intelligence (AI) fields. Over the past few years, machine learning (ML) has evolved from an interesting new approach that allows computers to beat champions at chess and Go, into one that is touted as a panacea for almost everything. Machine learning already has delivered beneficial results in certain niches, but it has potential for a bigger and longer lasting impact because of the demand for broad insights and efficiencies across industries. Also EDA companies have been investing in this technology and some results are expected to be announced.

The Battle of AI Processors Begins in 2018. Machine learning applications have a voracious appetite for compute cycles, consuming as much compute power as they can possibly scrounge up. As a result, they are invariably run on parallel hardware – often parallel heterogeneous hardware—which creates development challenges of its own. 2018 will be the start of what could be a longstanding battle between chipmakers to determine who creates the hardware that artificial intelligence lives on. Main contenders on the field at the moment are CPUs, GPUs, TPUs (tensor processing units), and FPGAs. Analysts at both Research and Markets and TechNavio have predicted the global AI chip market to grow at a compound annual growth rate of about 54% between 2017 and 2021.

 

Sources:

Battery Market Goes Bigger and Better in 2018

Foundry Challenges in 2018

Smart speakers to outsell wearables during U.S. holidays, as demand for wearables slows

Wearables Shipments Expected to Double by 2021

The Week In Review: Manufacturing #186

Making 5G Happen

Five technology trends for 2018

NI Trend Watch 2018 explores trends driving the future faster

Creating Software Separation for Mixed Criticality Systems

Isolating Safety and Security Features on the Xilinx UltraScale+ MPSoC

Meeting ISO 26262 Software Standards

DRAM Growth Projected to be Highest Since ’94

NAND Market Expected to Cool in Q1

Memory Market Forecast 2018 … with Jim Handy

Pushing DRAM’s Limits

3D NAND Storage Fuels New Age of Smartphone Apps

$55.9 Billion Semiconductor Equipment Forecast – New Record with Korea at Top

Advanced Packaging Is Suddenly Very Cool

Fan-Outs vs. TSVs

Shortages Hit Packaging Biz

Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018

Rapid SoC Proof-of-Concept for Zero Cost

EDA Challenges Machine Learning

What Can You Expect from the New Generation of Power Supplies?

Optimizing Machine Learning Applications for Parallel Hardware

FPGA-dataa 10 kertaa nopeammin

The 200mm Equipment Scramble

Chipmakers Look To New Materials

The Trouble With Models

What the Experts Think: Delivering the next 5 years of semiconductor technology

Programmable Logic Holds the Key to Addressing Device Obsolescence

The Battle of AI Processors Begins in 2018

For China’s Memory Firms, Legal Tests May Loom

Predictions for the New Year in Analog & Power Electronics

Lithium-ion Overcomes Limitations

Will Fab Tool Boom Cycle Last?

The Next 5 Years Of Chip Technology

Chipmakers Look To New Materials

Silicon’s Long Game

Process Window Discovery And Control

Toward Self-Driving Cars

Sensors are Fundamental to New Intelligent Systems

Industrial IoT (IIoT) – Where is Silicon Valley

Internet of things (IoT) design considerations for embedded connected devices

How efficient memory solutions can help designers of IoT nodes meet tight BoM cost targets

What You Need to Become a Multi-Functional Engineer

IoT Markets to Watch in 2018

USBC yleistyy nopeasti

1,325 Comments

  1. Tomi Engdahl says:

    TSMC striving to cut costs
    https://www.digitimes.com/news/a20190128PD210.html%20

    Taiwan Semiconductor Manufacturing Company (TSMC) is striving to cut its operating costs this year, and has been in talks with its equipment and materials suppliers about lowering prices by as much as 10%, according to industry sources.

    Reply
  2. Tomi Engdahl says:

    Shifting the Burden of Tool Safety Compliance from Users to Vendor
    https://semiengineering.com/shifting-the-burden-of-tool-safety-compliance-from-users-to-vendor/

    What safety-compliance strategies are used for tool classification and qualification in safety-critical hardware projects, focusing on ISO 26262, IEC 61508 and EN 50128.

    Reply
  3. Tomi Engdahl says:

    Annual Silicon Shipments Hit Record High, Market Exceeds $10 Billion for First Time Since 2008
    http://www1.semi.org/en/annual-silicon-shipments-hit-record-high-market-exceeds-10-billion-first-time-2008

    orldwide silicon wafer area shipments in 2018 increased 8 percent year-over-year to a record high, while 2018 worldwide silicon revenue jumped 31 percent during the same period, exceeding the $10 billion mark for the first time since 2018, reported the SEMI Silicon Manufacturers Group (SMG) in its year-end analysis of the silicon wafer industry.

    SEMI LogoSilicon wafer area shipments in 2018 totaled 12,732 million square inches (MSI), up from the previous market high of 11,810 million square inches shipped during 2017. Revenues totaled $11.38 billion, compared to the $8.71 billion posted in 2017.

    Reply
  4. Tomi Engdahl says:

    CNBC:
    Intel promotes Robert Swan from interim to permanent CEO, its seventh in 50 years, following the ouster of Brian Krzanich in June 2018

    Intel names Robert Swan as permanent CEO
    https://www.cnbc.com/2019/01/31/intel-names-robert-swan-ceo.html

    Bob Swan, 58, has been interim CEO for seven months and chief financial officer since 2016.
    He was also elected to the company’s board of directors.
    Todd Underwood, currently vice president of finance, will take over as interim CFO while the company searches for a permanent CFO.

    Reply
  5. Tomi Engdahl says:

    Shenzhen: The Silicon Valley of Hardware (Full Documentary) | Future Cities | WIRED
    https://www.youtube.com/watch?v=SGJ5cZnoodY

    Future Cities, a full-length documentary strand from WIRED Video, takes us inside the bustling Chinese city of Shenzhen.

    Reply
  6. Tomi Engdahl says:

    EDA Grabs Bigger Slice Of Chip Market
    https://semiengineering.com/will-tool-vendors-break-out-in-2019/

    Manufacturing, packaging and development tools always have earned a fixed percentage of IC revenue, but that could change.

    EDA revenues have been a fairly constant percentage of semiconductor revenues, but that may change in 2019.

    With new customers creating demand, and some traditional customers shifting focus from advanced nodes, the various branches of the EDA tool industry may be where sticky technical problems are solved. IC manufacturing, packaging and development tools all are finding new ways to handle the pressures that artificial intelligence, automation and security put on systems. But how long will this sweet spot last for EDA?

    EDA revenue consistently has been 2% of semiconductor revenue,

    Reply
  7. Tomi Engdahl says:

    Can AI, 5G Chips Be Verified?
    https://semiengineering.com/can-ai-5g-chips-be-verified/

    Rapid technology changes, uncertain interactions create huge challenges.

    AI and 5G bode well for the semiconductor industry. They will require many billions of new, semi-customized and highly complex chips from the edge all the way to the data center, and they will require massive amounts of engineering time and tooling. But these technologies also are raising lots of questions on the design and verification front about what else can be automated and how to do it.

    However, there is a whole set of new challenges that require a higher level of abstraction. AI and 5G chips are all about system-level data flow, including storage and acceleration both on-chip and off-chip, and those are very large data paths to simulate and verify even with all the resources in the cloud.

    Reply
  8. Tomi Engdahl says:

    High-Speed Communication Takes A Village
    https://semiengineering.com/high-speed-communication-takes-a-village/

    A chip may work, but it’s only successful if it performs in the context of the delivered system.

    Supply chain, partner network, ecosystem. There are a lot of ways to describe the collection of companies needed to get something done. We’ve all discussed the extensive ecosystem needed to get an advanced chip designed and built. Without a doubt, that is a formidable problem addressed by a sophisticated team of companies. I’d like to take it up a notch in this discussion, however. What about achieving ultra-high performance at the system level? There is an advanced chip or two in there for sure. But there’s a lot more. More players, more uncertainty, more challenges. A bigger ecosystem, one as big as a village.

    Let’s examine these challenges from the perspective of backplane design for hyperscale computer systems — a popular topic these days. I’ve written about our 7nm 56G PAM4 & NRZ DSP-based long-reach SerDes in the past. That’s a key part of the equation. We’ve set a high bar recently regarding what long reach means. More on that later. I’d like to explore what it really takes achieve these transmission speeds and error rates and what it takes to validate that the whole thing really works.

    the emerging IEEE P370 standard. According to the official website: “The standard is applicable to: PCB and related interconnects (including package, connector, cable, etc.) used in high-speed digital applications, operating with signals at frequencies up to 50 GHz.” To validate the performance of our 56G SerDes, we set out to build a test board that conformed to this specification.

    You might be thinking, “wow, that’s a lot of companies just to build a test board.” Yes, it is a lot, but all necessary to achieve the goal — delivered performance in the system. This should be the goal of any ASIC project.

    Reply
  9. Tomi Engdahl says:

    Tesla Eyes Ultracapacitor Future With Maxwell Acquisition
    https://hackaday.com/2019/02/04/tesla-eyes-ultracapacitor-future-with-maxwell-acquisition/

    As reported by Bloomberg, Tesla has acquired the innovative energy storage company Maxwell Technologies for $218 Million. The move is a direct departure from Tesla’s current energy storage requirements; instead of relying on lithium battery technology, this acquisition could signal a change to capacitor technology.

    Tesla to Buy Energy Storage Firm Maxwell Tech at $4.75 a Share
    https://www.bloomberg.com/news/articles/2019-02-04/tesla-to-buy-energy-storage-firm-maxwell-tech-at-4-75-shr-value

    Reply
  10. Tomi Engdahl says:

    Semiconductor R&D Spending Projected to Rise
    https://www.eetimes.com/document.asp?doc_id=1334280

    Semiconductor industry R&D is expected to grow faster through the early part of the next decade as chipmakers grapple with a host of technical challenges, including 3D die stacking, increasing complexities in end use applications and challenges to semiconductor manufacturing, according to market research firm IC Insights.

    Reply
  11. Tomi Engdahl says:

    Heterogeneous Integration Needs Tools, Models
    https://www.eetimes.com/document.asp?doc_id=1334279

    Semiconductor industry researchers have for years been looking at alternative approaches to help satisfy the relentless drive for more intelligence, more connectivity, more computing power and higher bandwidth — without pushing costs sky high.

    Heterogeneous integration (HI) — separately manufactured silicon and non-silicon components integrated into a higher level system in the same three-dimensional system-in-package — has increasingly garnered attention from researchers and chip firms

    Reply
  12. Tomi Engdahl says:

    Huawei Was No. 3 Chip Buyer in 2018
    https://www.eetimes.com/document.asp?doc_id=1334286

    Huawei, the controversial Chinese vendor of networking gear and smartphones, increased its semiconductor spending by 45% last year to move into the third position among global chip buyers, according to market research firm Gartner Inc.

    Huawei spent more than $21 billion on semiconductors in 2018, moving it ahead of Dell on the chip buyer list, despite the U.S. PC vendors own 27% surge in chip spending, Gartner said.

    Reply
  13. Tomi Engdahl says:

    Chip Sales Slow, China Talks Start
    After a triillion-unit year, SIA shares the view from Washington
    https://www.eetimes.com/document.asp?doc_id=1334273

    Reply
  14. Tomi Engdahl says:

    Unsticking Moore’s Law
    https://semiengineering.com/unsticking-moores-law/

    Applied Materials’ VP looks at what’s next for semiconductor manufacturing and the impact of variation, new materials and different architectures.

    Reply
  15. Tomi Engdahl says:

    Inside Out—It’s All in the Positioning
    https://www.electronicdesign.com/test-measurement/inside-out-it-s-all-positioning?NL=ED-003&Issue=ED-003_20190201_ED-003_840&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=23073&utm_medium=email&elq2=151104c6b2924258a137b75e7980ab03

    Positioning systems are finding their way into a wide range of applications, including AR/VR. Two new developments put a spotlight on this trend.

    Reply
  16. Tomi Engdahl says:

    Semiconductor Sales Increase 13.7% in 2018

    The Semiconductor Industry Association (SIA) announced the global semiconductor industry posted sales of $468.8 billion in 2018, the industry’s highest-ever annual total and an increase of 13.7% compared to the 2017 total. Global sales for the month of December 2018 reached $38.2 billion, a slight increase of 0.6% over the December 2017 total, but down 7.0% compared to the total from …

    Global Semiconductor Sales Increase 13.7 Percent to $468.8 Billion in 2018
    https://www.semiconductors.org/global-semiconductor-sales-increase-13-7-percent-to-468-8-billion-in-2018/

    Reply
  17. Tomi Engdahl says:

    In-Memory Computing Challenges Come Into Focus
    https://semiengineering.com/challenges-emerge-for-in-memory-computing/

    Researchers digging into ways around the von Neumann bottleneck.

    Reply
  18. Tomi Engdahl says:

    The Race To Multi-Domain SoCs
    https://semiengineering.com/the-race-to-multi-domain-socs/

    Arteris IP’s CEO looks at how automotive and AI are altering chip design.

    Reply
  19. Tomi Engdahl says:

    VCSEL Technology Takes Off
    https://semiengineering.com/vcsel-technology-takes-off/

    iPhone X elevates niche optical technology to hot growth market. Now the question is what else it is good for?

    Vertical cavity surface emitting laser (VCSEL) technology, a proven but mostly niche technology until recently, is suddenly a very hot commodity thanks to the introduction of facial recognition in phones and other mobile devices.

    VCELS primarily have been used as a low-cost way of tracking movement and transfering data in computer mice, laser printers and in fiber optics. But Apple’s decision to use VCSEL technology for facial identification in its iPhone X has pushed this technology in a different direction. That decision—and the flood of smartphone and consumer electronics makers who followed suit—forced the whole VCSEL market to scale up with new fabrication, testing and validation processes.

    “In the VCSEL market, nothing happened for years,”

    Reply
  20. Tomi Engdahl says:

    PMBus-compatible PoL regulator for active power management
    https://www.edn.com/design/power-management/4461499/PMBus-compatible-PoL-regulator-for-active-power-management

    The need to optimize efficiency and address complex power requirements of high-end processors, FPGAs, and ASICs are making active power management a critical design requirement in data center servers, telecoms systems, and networking equipment applications. At the same time, engineers designing power schemes are expected to minimize board space while reducing the development time between the initial concept and the final product.
    To address the challenges of active power management, engineers must now consider solutions built around the PMBus specification, which offers a platform for monitoring and controlling power management devices. To address space-constraint issues, solutions with a minimal number of components must be implemented. The MPQ8645P, the latest point-of-load (PoL) regulator with PMBus integration from Monolithic Power Systems (MPS), provides a way for engineers to meet both sets of system design challenges.

    Reply
  21. Tomi Engdahl says:

    Ruotsalaiset kehittivät oppivan transistorin
    http://www.etn.fi/index.php/13-news/9051-ruotsalaiset-kehittivat-oppivan-transistorin

    Ruotsalaisen Linköpingin yliopiston tutkijat ovat kehittäneet orgaanisen transistorin, joka matkii aivojen toimintaa.

    Reply

Leave a Comment

Your email address will not be published. Required fields are marked *

*

*