Electronics trends for 2018

Here are some of my collection of newest trends and predictions for year 2018. I have not invented those ideas what will happen next year completely myself. I have gone through many articles that have given predictions for year 2018. Then I have picked and mixed here the best part from those articles (sources listed on the end of posting) with some of my own additions to make this posting.This article contains very many quotations from those source articles (hopefully all acknowledged with link to source).

The general trend in electronics industry is that the industry growth have been driven by mobile industry. Silicon content in smartphones and other mobile devices is increasing as vendors add greater functionality. Layering on top of that are several emerging trends such as IoT, big data, AI and smart vehicles that are creating demand for greater computing power and expanding storage capacity.

 

Manufacturing trends

According to Foundry Challenges in 2018 article the silicon foundry business is expected to see steady growth in 2018. The growth in semiconductor manufacturing will remain steady, but there will be challenges in the manufacturing capacity and  expenses to move to the next nodes. For most applications, unless you must have highest levels of performance, there may not be as compelling a business case to focus on the bleeding-edge nodes. Over the last two years, the IC industry has experienced an acute shortage of 200mm fab capacity (legacy MCU, power, sensors, 6-micron to 65nm). In 2018, 200mm capacity will remain tight. An explosion in 200mm demand has set off a frenzied search for used semiconductor manufacturing equipment that can be used at older process nodes. The problem is there is not enough used equipment available. The profit margins in manufacturing are so thin in markets served by those fabs that it’s hard to justify paying current rising equipment prices, and newcomers may have a tough time making inroads. Foundries with fully depreciated 200mm equipment and capacity already are seeing increased revenues in their 200mm business.The specialty foundry business is undergoing a renaissance, thanks to the emergence of 5G and automotive.

300mm is expected to follow a similar path for lack of capacity because 300mm fabs already produce leading-edge chips and more mainstream 300mm demand is driven by MCUs, wireless communications and storage applications. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm

In 2017, marking the first time that the semiconductor equipment market has exceeded the previous market high of US$47.7 billion set in 2000. Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAM. In 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017. In 2018, 7.5 percent growth is expected to result in sales of US$60.1 billion for the global semiconductor equipment market – another record-breaking year. Demand looks solid across the three main growth drivers for fab tool vendors—DRAM, NAND and foundry/logic.
Rising demand for chips is hitting the IC packaging supply chain, causing shortages of select manufacturing capacity, various package types, leadframes and even some equipment. Spot shortages for some IC packages began showing up in 2017, but the problem has been growing and spreading since then, so  packaging customers may encounter select shortages well into 2018Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018.

Market for advanced packaging begins to diverge based on performance and price. Advanced Packaging is now viewed as the best way to handle large amounts of data at blazing speeds.

Moore’s law

Many recent publications say Moore’s Law is dead. Though Moore’s Law is dead may be experiencing some health challenges, it’s not time to start digging the grave for the semiconductor and electronics market yet

Even smaller nodes are still being taken to use in high end chips. The node names are confusing. Intel’s 10nm technology is roughly equivalent to the foundry 7nm node.In 2018, Intel is expected to finally ramp up 10nm finally in the first half of 2018. In addition, GlobalFoundries, Samsung and TSMC will begin to ship their respective 7nm finFET processes. On the leading edge, GlobalFoundries, Intel, Samsung and TSMC start migrating from the 16nm/14nm to the 10nm/7nm logic nodes. It is expected that some chip-makers face some challenges on the road. Time will tell if GlobalFoundries, Samsung and TSMC will struggle at 7nm. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm. 7nm is projected to generate sales from $2.5 billion to $3.0 billion in 2018. Over time 10nm/7nm is expected to be a big and long-running node. Suppliers of FPGAs and processors are expected to jump on 10nm/7nm.

South Korea’s Samsung Electronics said it has commenced production of the second generation of its 10nm-class 8-Gb DDR4 DRAM. Devices labeled 10nm-class have feature sizes as small as 10 to 19 nanometers. With the continued need for shrinking pattern dimensions, semiconductor manufacturers continue to implement more complex patterning techniques, such as advanced multi-patterning, for the 10nm design node and beyond. They also are investing significant development effort in readying EUV lithography for production at the 7/5nm design nodesSamsung is planning to begin transitioning to EUV for logic chips next year at the 7nm node, although it is unclear when the technology will be put into production for DRAM.

There will be talk on even smaller nodes. FinFETs will get extended to at least to 5nm, and possibly 3nm in next 5 years. The path to 5nm loks pretty clear. FinFETs will get extended at least to 5nm. It’s possible they will get extended to 3nm. EUV will be used at new nodes, followed by High NA Lithography. New smaller nodes challenges the chip design as abstractions become more difficult at 7nm and beyond. Models are becoming more difficult to develop, integrate and utilize effectively at 10/7nm and beyond as design complexity, process variation and physical effects add to the number of variables that need to be taken into account. Materials and basic structures may diverge by supplier, at 7 nm and beyond. Engineering and scientific teams at 3nm and beyond will require completely different mixes of skills than today.

Silicon is still going strong, but the hard fact is that CMOS has been running out of steam for several nodes, and that becomes more obvious at each new node. To extend into new markets and new process nodes Chipmakers Look To New Materials. There are a number of compounds in use already (generally are being confined to specific niche applications), such as gallium arsenide, gallium nitride, and silicon carbide. Silicon will be supplemented by 2D materials to extend Moore’s Law. Transition metal dichalcogenides (TMDCs), a class of 2D materials derived from basic elements—principally tellurium, selenium, sulfur, and oxygen—are being widely explored by researchers. TMDCs are functioning as semiconductors in conjunction with graphene. Graphene, the wonder material rediscovered in 2004, and a host of other two-dimensional materials are gaining ground in manufacturing semiconductors as silicon’s usefulness begins to fade. Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. Future progress increasingly will require a mix of different materials and disciplines, but silicon will remain a key component.

Interconnect Materials need to to be improved. For decades, aluminum interconnects were the industry standard. In the late 1990s, chipmakers switched to copper. Over the years, transistors have decreased dramatically in size, so interconnects also have had to scale in size leading to roadblock known as the RC challenge. Industry is investing significant effort in developing new approaches to extend copper use and finding new metals. There’s also some investigation into improvements on the dielectric side. The era of all-silicon substrates and copper wires may be coming to an end.

Application markets

Wearables are a question mark. Demand for wearables slowed down in 2017 so much that smart speakers likely outsold wearable devices in 2017 holiday season.  eMarketer is estimating that usage of wearable will grow just 11.9 percent in 2018, rising from 44.7 million adult wearable users in 2017 to 50.1 million in 2018. On the other hand market research firm IDC estimates that the shipments of wearable electronics devices are projected to more than double over the next five years as watches displace fitness trackers as the biggest sellers. IDC forecasts that wearables shipments will increase at a compound annual growth rate of 18.4 percent between 2017 and 2021, rising from 113.2 million this year to 222.3 million in 2021. At the same time fitness trackers are expected to become commodity product. Tomorrow’s wearables will become more fully featured and multi-functional.

The automotive market for semiconductors is shifting into high gear in 2018. Right now the average car has about $350 worth of semiconductor content, but that is projected to grow another 50% by 2023 as the overall automotive market for semiconductors grows from $35 billion to $54 billion. The explosion of drive-by-wire technology, combined with government mandates toward fully electric powertrains, has changed this paradigm—and it impacts more than just the automotive industry. Consider implications beyond the increasingly complex vehicle itself, including new demands on supporting infrastructure. The average car today contains up to 100 million lines of code. Self-driving car will have considerably more code in it. Software controls everything from safety critical systems like brakes and power steering, to basic vehicle controls like doors and windows. Meeting ISO 26262 Software Standards is needed but it will not make the code bug free. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC. The shift to autonomous vehicles marks a major shift in the supply chain—and a major opportunity.

Many applications have need for a long service life — for example those deployed within industrial, scientific and military industries. In these applications, the service life may exceed that of component availability. Replacing an advanced, obsolete components in a design can be very costly, potentially requiring an entire redesign of the electronic hardware and software. The use of programmable devices helps designers not only to address component obsolescence, but also to reduce the cost and complexity of the solution. Programmable logic devices are provided in a range of devices of different types, capabilities and sizes, from FPGAs to System on Chips (SoC) and Complex Programmable Logic Devices (CPLD). The obsolete function can be emulated within the device, whether it is a logic function implemented in programmable logic in a CPLD, FPGA or SoC, or a processor system implemented in an FPGA or SoC.

Become familiar with USB type C connector. USB type C connector is becoming quickly more commonplace than any other earlier interface. In the end of 2016 there were 300 million devices using a USBC connection – a big part was smartphones, but the interface was also widespread on laptops. With growth, the USBC becomes soon the most common PC and peripheral interface. Thunderbolt™ 3 on USBC connector promises to fulfill the promise of USB-C for single-cable docking and so much more.

 

Power electronics

The power electronics market continues to grow and gain more presence across a variety of markets2017 was a good year for electric vehicles and the future of this market looks very promising. In 2017, we saw also how wireless charging technology has been adopted by many consumer electronic devices- including Apple smart phones. Today’s power supplies do more than deliver clean and stable dc power on daily basis—they provide advanced capabilities that can save you time and money.

Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. At the moment, the number of applications for those materials is steadily increasing in the automotive and military industry. Expect to see more adoption of SiC and GaN materials in automotive market.

According to Battery Market Goes Bigger and Better in 2018 article advances in battery technologies hold the keys to continuing progress in portable electronics, robotics, military, and telecommunication applications, as well as distributed power grids. It is difficult to see lithium-ion based batteries being replaced anytime soon, so the advances in battery technology are primarily through the application of lithium-ion battery chemistries. New battery protection for portable electronics cuts manufacturing steps and costs for Lithium-ion.

Transparency Market Research analysts predict that the global lithium-ion battery market is poised to rise from $29.67 billion in 2015 to $77.42 billion in 2024 with a compound annual growth rate of 11.6 %. That growth has already spread from the now ubiquitous consumer electronics segment to automotive, grid energy, and industrial applications. Dramatic increase is expected for battery power for the transportation, consumer electronic, and stationary segments. According to Bloomberg New Energy Finance (BNEF), the global energy-storage market will double six times between 2016 and 2030, rising to a total of 125 G/305 gigawatt-hours. In 2018, energy-storage systems will continue proliferating to provide backup power to the electric grid.

Memory

Memory business boomed in 2017 for both NAND and DRAM. The drivers for DRAM are smartphones and servers. Solid-state drives (SSDs) and smartphones are fueling the demand for NAND.  Both the DRAM and NAND content in smartphones continues to grow, so memory business will do well in 2018.Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAMIn 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017.

NAND Market Expected to Cool in Q1 from the crazy year 2017, but it is still growing well because there is increasing demand. The average NAND content in smartphones has been growing by roughly 50% recently, going from approximately 24 gigabytes in 2016 to approximately 38 gigabytes today.3D NAND will do the heavy memory lifting that smartphone users demand. Contract prices for NAND flash memory chips are expected to decline in during the first quarter of 2018 as a traditional lull in demand following the year-end quarter.

Lots of 3D NAND will go to solid state drives in 2018. IDC forecasts strong growth for the solid-state drive (SSD) industry as it transitions to 3D NAND.  SSD industry revenue is expected to reach $33.6 billion in 2021, growing at a CAGR of 14.8%. Sizes of memory chips increase as number of  layer in 3D NAND are added. We’ve already scaled up to 48 layers. Does this just keep scaling up, or are there physical limits here? Maybe we could see a path to 256 layers in few years.

Memory — particular DRAM — was largely considered a commodity business. Though that it’s really not true in 2017. DRAM memory marked had boomed in 2017 at the highest rate of expansion in 23 years, according to IC Insights. Skyrocketing prices drove the DRAM market to generate a record $72 billion in revenue, and it drove total revenue for the IC market up 22%. Though the outlook for the immediate future appears strong, a downturn in DRAM more than likely looms in the not-too-distant future. It will be seen when there are new players on the market. It is a largely unchallenged assertion that Chinese firms will in the not so distant future become a force in semiconductor memory market. Chinese government is committed to pumping more than $160 billion into the industry over a decade, with much of that ticketed for memory startups.

There is search for faster memory because modern computers, especially data-center servers that skew heavily toward in-memory databases, data-intensive analytics, and increasingly toward machine-learning and deep-neural-network training functions, depend on large amounts of high-speed, high capacity memory to keep the wheels turning. The memory speed has not increased as fast as the capacity. The access bandwidth of DRAM-based computer memory has improved by a factor of 20x over the past two decades. Capacity increased 128x during the same period. For year 2018 DRAM remains a near-universal choice when performance is the priority. There has been some attempts to very fast memory interfaces. Intel the company has introduced the market’s first FPGA chip with integrated high-speed EMBED (Embedded Multi-Die Interconnect Bridge): The Stratix 10 MX interfaces to HMB2 memory (High Memory Bandwidth) that offers about 10 times faster speed than standard DDR-type DIMM.

There is search going on for a viable replacement for DRAM. Whether it’s STT-RAM or phase-change memory or resistive RAM, none of them can match the speed or endurance of DRAM. Necessity is the mother of invention, and we see at least two more generations after 1x. XPoint is also coming up as another viable memory solution that could be inserted into the current memory architecture. It will be interesting to see how that plays out versus DRAM.

5G and IoT

5G something in it for everyone. 5G is big.  5G New Radio (NR) wireless technology will ultimately impact everyone in the electronics and telecommunications industries. Most estimates say 2020 is when we will ultimately see some real 5G deployments on a scale. In the meantime, companies are firming up their plans for whatever 5G products and services they will offer. Though test and measurement solutions will be key in the commercialization cycle. 5G is set to disrupt test processes. If 5G takes off, the technology will propel the development of new chips in both the infrastructure and the handset. Data centers require specialty semiconductors from power management to high-speed optical fiber front-ends. 5G systems will drive more complexity in RF front-ends .5G will offer increased capacity and decreased latency for some critical applications such as vehicle-to-vehicle (V2V) or vehicle-to-infrastructure (V2I) communications for advanced driver assistance systems (ADAS) and self-driving vehicles. The big question is whether 5G will disrupt the landscape or fall short of its promises.

Electronics manufacturers expect a lot from Internet of Thing. The evolution of intelligent electronic sensors is creating a revolution for IoT and Industrial IoT as companies bring new sensor-based, intelligent systems to market. The business promise is that the proliferation of smart and connected “things” in the Industrial Internet of Things (IIoT) provides tremendous opportunities for increased performance and lower costs. Industrial Internet of Things (IIoT) has a market forecast approaching $100 billion by 2020. Turning volumes of factory data into actionable information that has value is essential. Predictive maintenance and asset tracking are two big IoT markets to watch in 2018 because they will provide real efficiencies and improved safety. It will be about instrumenting our existing infrastructures with sensors that improve their reliability and help predict failures. It will be about tracking important assets through their lifecycles.

A new breed of designers has arrived that is leveraging inexpensive sensors to build the intelligent systems at the edge of the Internet of Things (IoT). They work in small teams, collaborate online, and they expect affordable design tools that are easy to use in order to quickly produce results. Their goal is to deliver a functioning device or a proof-of-concept to their stakeholders while spending as little money as possible to get there. We need to become multi-functional engineers who can comfortably work in the digital, RF, and system domains.

The Io edge sensor  device usually needs to be cheap. Simple mathematical reasoning suggests that the average production cost per node must be small, otherwise the economics of the IoT simply are not viable. Most suppliers to the electronics industry are today working under the assumption that the bill-of-materials (BoM) cost of a node cannot exceed $5 on average. While the sensor market continues to garner billions of dollars, the average selling price of a MEMS sensor, for example, is only 60 cents.

Designing a well working and secure IoT system is still hard. IoT platforms are very complex distributed systems and managing these distributed systems is often an overlooked challenge. When designing for the IoT, security needs to be addressed from the Cloud down to each and every edge device. Protecting data is both a hardware and a software requirement, as more data is being stored and analyzed in edge devices and gateways.

The continued evolution of powerful embedded processors is enabling more functionality to be consolidated into single heterogeneous multicore devices. You will see more mixed criticality designs – those designs which contain both safety-critical and non-safety critical processes running on the same chip. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC.

AI

There is clearly a lot of hype surrounding machine learning (ML) and artificial intelligence (AI) fields. Over the past few years, machine learning (ML) has evolved from an interesting new approach that allows computers to beat champions at chess and Go, into one that is touted as a panacea for almost everything. Machine learning already has delivered beneficial results in certain niches, but it has potential for a bigger and longer lasting impact because of the demand for broad insights and efficiencies across industries. Also EDA companies have been investing in this technology and some results are expected to be announced.

The Battle of AI Processors Begins in 2018. Machine learning applications have a voracious appetite for compute cycles, consuming as much compute power as they can possibly scrounge up. As a result, they are invariably run on parallel hardware – often parallel heterogeneous hardware—which creates development challenges of its own. 2018 will be the start of what could be a longstanding battle between chipmakers to determine who creates the hardware that artificial intelligence lives on. Main contenders on the field at the moment are CPUs, GPUs, TPUs (tensor processing units), and FPGAs. Analysts at both Research and Markets and TechNavio have predicted the global AI chip market to grow at a compound annual growth rate of about 54% between 2017 and 2021.

 

Sources:

Battery Market Goes Bigger and Better in 2018

Foundry Challenges in 2018

Smart speakers to outsell wearables during U.S. holidays, as demand for wearables slows

Wearables Shipments Expected to Double by 2021

The Week In Review: Manufacturing #186

Making 5G Happen

Five technology trends for 2018

NI Trend Watch 2018 explores trends driving the future faster

Creating Software Separation for Mixed Criticality Systems

Isolating Safety and Security Features on the Xilinx UltraScale+ MPSoC

Meeting ISO 26262 Software Standards

DRAM Growth Projected to be Highest Since ’94

NAND Market Expected to Cool in Q1

Memory Market Forecast 2018 … with Jim Handy

Pushing DRAM’s Limits

3D NAND Storage Fuels New Age of Smartphone Apps

$55.9 Billion Semiconductor Equipment Forecast – New Record with Korea at Top

Advanced Packaging Is Suddenly Very Cool

Fan-Outs vs. TSVs

Shortages Hit Packaging Biz

Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018

Rapid SoC Proof-of-Concept for Zero Cost

EDA Challenges Machine Learning

What Can You Expect from the New Generation of Power Supplies?

Optimizing Machine Learning Applications for Parallel Hardware

FPGA-dataa 10 kertaa nopeammin

The 200mm Equipment Scramble

Chipmakers Look To New Materials

The Trouble With Models

What the Experts Think: Delivering the next 5 years of semiconductor technology

Programmable Logic Holds the Key to Addressing Device Obsolescence

The Battle of AI Processors Begins in 2018

For China’s Memory Firms, Legal Tests May Loom

Predictions for the New Year in Analog & Power Electronics

Lithium-ion Overcomes Limitations

Will Fab Tool Boom Cycle Last?

The Next 5 Years Of Chip Technology

Chipmakers Look To New Materials

Silicon’s Long Game

Process Window Discovery And Control

Toward Self-Driving Cars

Sensors are Fundamental to New Intelligent Systems

Industrial IoT (IIoT) – Where is Silicon Valley

Internet of things (IoT) design considerations for embedded connected devices

How efficient memory solutions can help designers of IoT nodes meet tight BoM cost targets

What You Need to Become a Multi-Functional Engineer

IoT Markets to Watch in 2018

USBC yleistyy nopeasti

1,325 Comments

  1. Tomi Engdahl says:

    DesignCon: SI, PI, EMI Have a Future Together
    https://www.eetimes.com/document.asp?doc_id=1332927

    Our insatiable appetite for more data drives engineers to develop ever-faster links. Each speed increase brings with it a new set of engineering problems to solve. In the early 2000s, 1 Gbps was fast. Today, engineers are developing connections that will run at 112 Gbps. Some even have an eye on the next speed jump.

    Each speed increase results in new issues in signal integrity (SI), power integrity (PI), and electromagnetic interference (EMI). No longer can engineers designing high-speed digital systems specialize in one discipline without some knowledge of the other two.

    It’s impossible to get through this kind of technical talk without hearing about IoT. Bogatin sees it as the fusion of technology and the internet, but it’s not just engineers getting into IoT. “Don’t forget about makers and enthusiasts,” he said. “They’re generally not engineers, but they are building things with technology. I love the maker movement.”

    As for the future of power integrity, Novak sees DC/DC converters being mounted directly on IC packages. This minimizes impedance and minimizes losses in power delivery. Such development might actually lead to the elimination of bypass capacitors.

    EMI engineering consultant Kenneth Wyatt said that we can no longer think of signal integrity, power integrity, and EMI as separate engineering specialties, at least with regard to design: “You can’t partition SI, PI, and EMI anymore. Power and signal return paths affect EMI, and many digital designers don’t understand that. Return current kills sensitivity in receivers, leading to ‘platform interference’ in systems.” He cited how vehicles have sensors, radar, Wi-Fi, and Bluetooth, all of which must not interfere with each other or with other vehicle systems.

    “I don’t like gadgets,” said Alfred Neves of Wild River Technologies. “They complicate things.”

    Neves focused on today’s signal-integrity issues, claiming that signal integrity needs to be simplified for engineers to solve SI problems. A 50-Ω system can’t deviate by more than 2 Ω and still be viable. Many engineers don’t understand that. “Engineers need to learn electromagnetics and how to make measurements,” he claimed. “Instead of leaving work early on Friday afternoons, stay and teach yourself how to make measurements. That’s how I learned to use a vector-network analyzer (VNA).”

    Reply
  2. Tomi Engdahl says:

    Best Practices, Part 2: Comparison with Si MOSFETs
    http://www.powerelectronics.com/power-management/best-practices-part-2-comparison-si-mosfets?NL=ED-003&Issue=ED-003_20180205_ED-003_590&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=15206&utm_medium=email&elq2=a00deb3e66fe4e50bec6822a6abeb754

    Best Practices, Part 3: Monolithic Half-Bridge IC
    http://www.powerelectronics.com/power-management/best-practices-part-3-monolithic-half-bridge-ic?NL=ED-003&Issue=ED-003_20180205_ED-003_590&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=15206&utm_medium=email&elq2=a00deb3e66fe4e50bec6822a6abeb754

    The monolithic integration of GaN power transistors has the potential to improve electrical performance in many applications by allowing for improved die size optimization and providing lower parasitic inductances
    eGaN FETs have universally lower figures of merit and superior electrical and thermal packaging. When operated in equivalent test comparison, they demonstrate significant electrical and thermal performance.

    Reply
  3. Tomi Engdahl says:

    The processor market is now growing thanks to cell phones

    There are roughly three types of processors. The traditional PC processor is the billionth transistor jack chain known to everybody, and at the other end of the scale are often small, streamlined driver circuits. However, the growth of the industry is currently the result of mobile processors.

    Last year, all microprocessors were sold by IC Insights for $ 71.5 billion. Of the total, 53 percent were traditional PC processors. Their sales have not just increased in recent years

    In five years, sales of embedded processors have doubled from $ 5.8 billion to $ 10.4 billion. However, the biggest growth is in mobile phone processors sold last year by $ 23.0 billion.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=7509&via=n&datum=2018-02-05_15:18:57&mottagare=31202

    Reply
  4. Tomi Engdahl says:

    EMC disturbances can be found with simulation

    Simulation accelerates design and helps improve the quality of the finished product. EMC, ie electromagnetic compatibility, is wise to be taken into account from the beginning of the design. – In many projects, EMC requirements are not remembered until the end of the development project, says Etteplan EMC specialist Marko Luukkainen.

    - In the worst case, the design will be completely redone if the product radiates radio interference more than the regulations, or the product does not work when there are radio interference in the environment, Luukkainen warns

    Source: http://etn.fi/index.php?option=com_content&view=article&id=7503&via=n&datum=2018-02-05_15:18:57&mottagare=31202

    Reply
  5. Tomi Engdahl says:

    Taiwanese IP Firm Bets on Crypto Technology
    https://www.eetimes.com/document.asp?doc_id=1332931

    eMemory Technology, the world’s seventh-largest IP vendor, expects its physically unclonable function (PUF) technology to continue the company’s strong growth as security issues in the semiconductor industry become a higher priority.

    PUFs are semiconductor fingerprints, unique physical properties inherent in silicon structures that can be used to identify individual chips. eMemory says its NeoPUF technology protects hardware at the chip level by generating unique IDs and crypto keys from those fingerprints.

    The integration of NeoPUF into a chip design helps to prevent unauthorized code execution and data reads, according to the company. At a time when concerns have grown surrounding the vulnerability of CPUs and other chips in systems for IoT and point of sales (POS), eMemory expects its new technology to help continue its 40 percent compound annual growth rate in royalties and licensing over the past 12 years.

    Reply
  6. Tomi Engdahl says:

    Discrete Analog ICs Literally Become Nearly Invisible
    http://www.electronicdesign.com/analog/discrete-analog-ics-literally-become-nearly-invisible?NL=ED-003&Issue=ED-003_20180208_ED-003_693&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=15291&utm_medium=email&elq2=ffc2f4d6f88548e8b065f33c419b185d

    TI introduced an op amp and family of comparators in its new X2SON packages measuring just 0.8 × 0.8 mm—and there’s no compromise in performance.

    Despite the widespread use of highly integrated analog and mixed-signal ICs, or perhaps as a consequence of them, there’s still a large need for single-function analog components. Sometimes they are the remedy for unique signal-processing requirements; other times, it’s to fix an oversight in the signal path (yes, it happens). That’s why thousands of discrete op amps, comparators, and similar components are available from dozens of sources.

    But the push for smaller ICs is inescapable, even for these analog components. Texas Instruments just announced its first entrants

    Reply
  7. Tomi Engdahl says:

    Is an Li-Ion Replacement on the Way?
    http://www.powerelectronics.com/alternative-energy/li-ion-replacement-way?NL=ED-003&Issue=ED-003_20180208_ED-003_693&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=15291&utm_medium=email&elq2=ffc2f4d6f88548e8b065f33c419b185d

    Li-ion is now the dominant stationary energy storage battery for electric utilities. However, an innovative molten-electrode battery produced by MIT researchers may be in line to replace it.

    Over the past two years, according to Navigant Research, lithium ion (Li-ion) batteries have emerged as the most widely deployed technology for new stationary energy storage projects. One reason for this is that Li-ion battery pack costs have decreased dramatically, triggered by increases in manufacturing capacity and sales volumes for the EV industry. Typically, these batteries are selected due to their combination of low cost and reputable vendors. As Navigant Research notes,“Despite their popularity, Li-ion batteries are not well-suited for certain stationary storage applications.”

    These MIT researchers are led by Professor Donald Sadoway, the John F. Elliott Professor of Materials Chemistry; postdocs Huayi Yin and Brice Chung; and four others. Although the basic battery chemistry the team used, based on a liquid sodium electrode material, was first described in 1968, the concept never caught on as a practical approach because of one significant drawback: It required the use of a thin membrane to separate its molten components, and the only known material with the needed properties for that membrane was a brittle and fragile ceramic. These paper-thin membranes made the batteries too easily damaged in real-world operating conditions, so apart from a few specialized industrial applications, the system has never been widely implemented.

    But Sadoway and his team took a different approach, realizing that the functions of that membrane could instead be performed by a specially coated metal mesh

    While some companies have continued to make liquid-sodium batteries for specialized uses, “the cost was kept high because of the fragility of the ceramic membranes,” says Sadoway. “Nobody’s really been able to make that process work.” This includes GE, which spent nearly 10 years working on the technology before abandoning the project.

    The use of the new type of membrane can be applied to a wide variety of molten-electrode battery chemistries, Sadoway says, and opens up new avenues for battery design. “The fact that you can build a sodium-sulfur type of battery, or a sodium/nickel-chloride type of battery, without resorting to the use of fragile, brittle ceramic—that changes everything,” he explains.

    The work could lead to inexpensive batteries large enough to make intermittent, renewable power sources practical for grid-scale storage, and the same underlying technology could have other applications as well, such as for some kinds of metal production, Sadoway says.

    Sadoway cautions that such batteries would not be suitable for some major uses, such as cars or phones. Their strong point is in large, fixed installations where cost is paramount, but size and weight are not, such as utility-scale load leveling.

    Flow Batteries

    The ViZn battery (Fig. 3) achieves this unique performance blend by utilizing a hybrid flow battery in which alkaline electrochemical components are dissolved in the electrolyte.

    Reply
  8. Tomi Engdahl says:

    Turning Down The Voltage
    https://semiengineering.com/turning-down-the-voltage/

    SoC complexity is making it more difficult to combine functional performance with demands for lower power.

    Reply
  9. Tomi Engdahl says:

    New Lines to Fuel Semiconductor Production Expansion
    https://www.eetimes.com/document.asp?doc_id=1332953

    An infusion of new semiconductor manufacturing lines — particularly for DRAM memory — is forecast to drive an above average increase in total industry wafer capacity in 2018 and 2019, according to market research firm IC Insights.

    Wafer capacity is projected to grow by 8 percent in both 2018 and 2019, higher than the roughly 5 percent average yearly growth for the industry between 2018 and 2019, according to IC Insights’ most recent report on global wafer capacity.

    A shortage of both DRAM and NAND flash memory capacity last year drove prices increases that pushed broader semiconductor industry sales about $400 billion for the first time.

    Reply
  10. Tomi Engdahl says:

    STMicro, Macom Aim to Take GaN-on-Silicon Mainstream
    https://www.eetimes.com/document.asp?doc_id=1332954

    STMicroelectronics and Macom Technology Solutions announced an agreement that will improve Macom’s access to manufacturing capacity for its gallium nitride-on-silicon technology and enable ST to manufacture its own products based on the technology for the RF energy and RF lighting markets.

    The companies said they will develop GaN-on-silicon wafers to be manufactured by ST for Macom’s use across an array of RF applications. While expanding Macom’s source of supply, the agreement also grants to ST the right to manufacture and sell its own GaN-on-silicon products in RF markets outside of mobile phone, wireless basestation and related commercial telecom infrastructure applications — such as in RF energy for automotive and for RF lighting.

    Reply
  11. Tomi Engdahl says:

    Qualcomm Rejects Revised Broadcom Offer
    https://www.eetimes.com/document.asp?doc_id=1332952

    Qualcomm’s board of directors unanimously rejected a sweetened acquisition proposal worth $121 billion from Broadcom, saying it undervalues Qualcomm and would not adequately compensate Qualcomm in the event that the deal was struck down by regulators.

    Qualcomm’s board, however, offered to meet with Broadcom CEO Hock Tan and other representatives to discuss the proposal.

    In a letter to Tan, Qualcomm Chairman Paul Jacobs argued that Qualcomm’s business model would put the company’s customer and licensee revenue at risk in the period between signing a deal with Broadcom and closing.

    Reply
  12. Tomi Engdahl says:

    Signal Integrity Methodology For Double-Digit Multi-Gigabit Interfaces
    What PCI Express Gen 4 means for designers.
    https://semiengineering.com/signal-integrity-methodology-for-double-digit-multi-gigabit-interfaces/

    As data rates for serial link interfaces such as PCI Express (PCIe) Gen 4 move into the double digits, device modeling, interconnect modeling, and analysis methodologies must continue to evolve to address the shrinking design margins and increasingly challenging compliance criteria facing today’s engineers. To mitigate risk and optimize designs, it is critical to move analysis as far upstream as possible, to enable trade-offs, feasibility studies, component selection, and constraint capture.

    Accurate modeling of SerDes transmitter and receiver equalization in the link are paramount to obtaining realistic simulation results, including the complex adaptive equalization that is present in nearly all high data rate serial links.

    Reply
  13. Tomi Engdahl says:

    Monetizing Semiconductors From Silicon To Services
    https://semiengineering.com/monetizing-semiconductors-from-silicon-to-services/

    A look at challenges, consolidation, and opportunities across the semiconductor industry.

    Reply
  14. Tomi Engdahl says:

    Not All Ops Are Created Equal
    https://semiengineering.com/not-all-ops-are-created-equal/

    How energy per inference and total memory footprint can vary on resource-constrained devices like microcontrollers.

    Reply
  15. Tomi Engdahl says:

    Bullish Chip Forecast Explained
    https://www.eetimes.com/author.asp?section_id=36&doc_id=1332939

    Future Horizons’ CEO explains why the market watcher just increased a 2018 semiconductor forecast that was already the most bullish in the industry.

    A recently-published chart from the SEMI trade group (below) summarizes the 2018 semiconductor revenue forecasts from the various industry watchdogs. This clearly shows the global collective received wisdom for 2018 chip revenues in the 7-8 percent range. Cowan’s linear regression model is the most bearish at 5.9 percent, and Future Horizons is out on a limb at a bullish 16.0 percent.

    Reply
  16. Tomi Engdahl says:

    Cheaper Packaging Options Ahead
    Low-cost alternatives to interposers could have a big impact on chip design.
    https://semiengineering.com/cheaper-packaging-options-ahead/

    Lower-cost packaging options and interconnects are either under development or just being commercialized, all of which could have a significant impact on the economics of advanced packaging.

    By far, the most cited reason why companies don’t adopt advanced packaging is cost. Currently, silicon interposers add about $30 to the price of a medium-sized die, according to several industry sources. For large chips, where multiple reticles need to be stitched together, that price can increase to more than $100.

    This has limited interposers to high-performance applications such as high-speed networking and server chips, where price is less of an issue. For those applications, 2.5D has proven to be a competitive necessity because it provides a significant boost in performance. An interposer can include thousands of through-silicon vias, which collectively provide fast signal throughput even though the individual TSVs are not as fast as other high-speed interconnects. In conjunction with that, different chips such as memory and logic can be placed closer together than blocks on a single chip, further enhancing performance and lessening the amount of energy required to drive signals.

    Reply
  17. Tomi Engdahl says:

    These self-destructing electronics can turn your data to dust on command
    A radio signal tells the components to vaporize.
    https://www.popsci.com/vaporize-electronics-radio-signal

    Engineers have come up with a trick to make electronics disintegrate from far away. The technology represents a new kind of transient electronics, which are designed to disappear when they’re no longer needed. In this case, chemicals that can destroy the circuit stay sealed away—until you unlock them with radio waves. This means that if a device containing these electronics were stolen, you could remotely order it to self-destruct, wiping its data.

    “It literally goes into the air,” says Amit Lal, a professor of electrical engineering at Cornell University and one of the researchers behind the new design. “Very little remnants of it are left behind.”

    Scientists are hoping to use transient electronics to build medical implants that vanish instead of requiring surgical removal. Or they might appear in sensors deposited in forests and oceans to measure things like pollution or carbon dioxide levels. That way people or robots wouldn’t have to go collect them later.

    Reply
  18. Tomi Engdahl says:

    Micropower Zero-Drift Amplifier Improves Circuit Performance
    https://www.youtube.com/watch?v=WaBJxiMmhVg&index=25&list=PL40wCgbP6rqF3Mfr57d2CSN9B7wYY7wv8

    This video explores the superior performance achieved with the LTC2063 zero-drift amplifier in a wide variety of low power applications. The LTC2063 enables precision measurement at extremely low power levels. The LTC2063 operates from 1.7V to 5.25V and features a shutdown mode which is optimized for battery-powered and duty-cycled applications.

    32 Bit Over-Sampling ADC with Configurable Digital Filter
    https://www.youtube.com/watch?v=Tw1gdiZD2h8&t=7s&list=PLDglzuv1g_h-Ch5QlzRzj_cRTY-zWnNr1&index=1

    Reply
  19. Tomi Engdahl says:

    CoC Tier 2 Did Not Become Law in Europe as Expected – Now What?
    http://www.cui.com/blog/coc-tier-2-did-not-become-law-in-europe-as-expected-now-what?utm_source=CUI+Updates&utm_campaign=74dfbf3dbf-2-7-blog-round-up&utm_medium=email&utm_term=0_0afa235bc7-74dfbf3dbf-171231617

    Energy efficiency regulations are continuing to become more stringent, as governments seek to deliver on carbon pledges and consumers become more aware of the environmental impact of today’s lifestyles and gadgets. The regulations imposed on external power supplies, or power adapters, provide a good example.

    Legislation surrounding external power supply efficiency has evolved in almost leapfrog fashion in different regions as rule-makers have sought to catch up and surpass their neighbors. In Europe, the trend has been to publish increasingly demanding Code of Conduct (CoC) standards, initially as a voluntary code, becoming mandatory later on. CoC Tier 1, which is similar to the US Department of Energy’s (DOE) Level VI standard, was announced in 2014 and was scheduled to become a legal requirement in 2017. CoC Tier 2, which raises requirements to above Level VI, was published in 2016 as a voluntary code intended to become enforced after January 2018.

    However, neither CoC Tier 1 nor Tier 2 legislation has come into force as was expected and all is now quiet on the EU regulatory front.

    Reply
  20. Tomi Engdahl says:

    New Device Could Drive MicroLED Displays, Li-Fi
    https://spectrum.ieee.org/tech-talk/semiconductors/optoelectronics/new-device-could-drive-microled-displays-lifi

    IEEE Fellow Kei May Lau sees it, the problem with conventional LEDs, which are current controlled devices, is that turning them on and off rapidly to control brightness or using them for Li-Fi takes careful engineering and a bunch of circuitry.

    So she and her students invented a device, the HEMT-LED, that makes it much easier. The HEMT-LED, which is a bit like a light emitting transistor, lets you switch light emission on and off and control brightness with voltage signals.

    The HEMT-LED, which is made from gallium nitride, integrates a high-electron mobility transistor (HEMT) and an LED so tightly that they merge into a single device.

    Reply
  21. Tomi Engdahl says:

    How to Design a New Chip on a Budget
    https://spectrum.ieee.org/tech-talk/computing/hardware/lowbudget-chip-design-how-hard-is-it

    We were interested in Huang’s views about whether a small, modestly funded team—say a college-dorm startup—could produce a custom chip, just the way such groups now create board-level products and software with ease.

    Software ventures in particular benefit from the vast amount of open-source code that is available for use in building commercial products. (One study found that the average commercial application contains 35 percent open-source code.) We wanted to get a sense of whether chip designers also enjoyed a rich ecosystem of open-source building blocks.

    IEEE Spectrum: Why would a small startup want to produce its own application-specific integrated circuit (ASIC) in the first place? Couldn’t it just use a Field-Programmable Gate Array (FPGA) for whatever product it was hatching?

    Huang: FPGAs generally come in big clunky packages and consume way too much power.

    ASICs are absolutely necessary for making things like hearing aids, implantable or edible medical devices, GPS trackers to be carried by animals, mobile radios, RFID devices, electronic greeting cards, or other single-purpose, disposable circuits.

    Another example is the driver IC inside the WS2812 chip—it has revolutionized lighting to be able to create a single-package RGB LED with serial protocol built in by embedding a tiny ASIC with the LEDs.

    Reply
  22. Tomi Engdahl says:

    Accessories: Preventing ESD and power surges in laser diode-based systems
    http://www.laserfocusworld.com/articles/print/volume-54/issue-01/features/accessories-preventing-esd-and-power-surges-in-laser-diode-based-systems.html?cmpid=enl_lfw_lfw_laser_sources_newsletter_2018-02-13&pwhid=6b9badc08db25d04d04ee00b499089ffc280910702f8ef99951bdbdad3175f54dcae8b7ad9fa2c1f5697ffa19d05535df56b8dc1e6f75b7b6f6f8c7461ce0b24&eid=289644432&bid=2002310

    With laser diode lifetimes a critical issue for end-users, protection from electrostatic discharge (ESD) and power surges can be ensured with purpose-designed components added to the laser system.

    Laser diodes have two distinct failure modes that conventional electronic components do not have: one is related to junction failure, which is essentially electrical overstress; and the other is related to mirror failure, which is essentially optical overstress. Most electronic components are based on simple p-n junctions. By contrast, laser diodes are electrically like PIN diodes, based on heterojunctions. During a surge, the heterojunction is more susceptible to damage than the p-n junction used on conventional components.

    To complicate matters, the operating voltage for laser diodes varies with time and temperature. For example, a 520 nm green laser diode may have a typical operating voltage of 6.4 V and a maximum operating voltage of 8 V. If a conventional ESD protection component is chosen to have a clamping voltage of 8.1 V, this is actually more than 25% above the typical operating voltage level.

    Even using a modest dynamic impedance of 1 ohm, this 25% increase in voltage may represent an 8-fold increase in current. Clearly, the laser diode cannot withstand an operating current increase of 8X, even for a brief time, so this simple example illustrates why a simple clamping approach is ineffective.

    We developed a different approach to laser diode protection, called Lasorb, which is a hybrid electronic component that is connected directly across the anode and cathode terminals of a laser diode. With this connection, Lasorb can monitor the instantaneous voltage across the laser diode, including any changes of that voltage as well as reverse bias

    As its primary method of protection, Lasorb includes a slew-rate detector, which monitors the slew rate of the voltage across the pins of the laser diode. If there is a fast change-of-voltage condition detected, this triggers the device to aggressively conduct the voltage (and thus current) away from the laser diode. The conduction is initiated very rapidly—in some cases less than one nanosecond—and the conduction can last from several microseconds to tens of microseconds (the actual slew rate, surge conduction time, and maximum operating voltage can be specified).

    This change-of-voltage approach is a good principle to use for laser diodes because, under ordinary circumstances, even during modulation the voltage across laser diode pins does not change very much. Therefore, any change of voltage, especially a fast one, indicates an abnormal event

    Lasorb was found to be 100% effective at protecting these laser diodes from positive-ESD events up to 15 kV, when using the human body model associated with ANSI/ESD STM5.1 and IEC 61340-3-1

    Reply
  23. Tomi Engdahl says:

    Chipmakers expect bundle deal to promote NAND flash sales
    https://digitimes.com/news/a20180209PD211.html

    Memory makers are demanding their downstream clients place orders for DRAM chips bundled with certain amounts of NAND flash, according to industry sources. Such bundled deals are expected to be the major point in memory price negotiations during the first half of 2018, said the sources.

    Chipmakers intend to promote sales of their NAND flash chips as prices of the memory are under downward pressure, the sources indicated.

    Chipmakers already improved yield rates for their 64- or 72-layer 3D NAND chips, and scaled up their output, the sources said. NAND flash prices have started to fall due to a substantial increase in supply.

    Global NAND flash bit demand is expected to grow 37.7% in 2018, but the supply side will see a larger 42.9%, the sources quoted unspecified market research firm as saying.

    Reply
  24. Tomi Engdahl says:

    ASE, SPIL shareholders approve merger deal
    http://focustaiwan.tw/news/aeco/201802120017.aspx

    Taipei, Feb. 12 (CNA) Shareholders of Advanced Semiconductor Engineering Inc. (ASE) and Siliconware Precision Industries Co. (SPIL), the top two integrated circuit packaging and testing services providers in Taiwan, have approved a merger deal between the two companies.

    Reply
  25. Tomi Engdahl says:

    ISSCC Keynotes Call for Creativity
    Chip advances require fresh ideas, speakers say
    https://www.eetimes.com/document.asp?doc_id=1332959

    Executives and technologists put a positive spin on the increasing cost and complexity of scaling semiconductors in opening talks at the International Solid-State Circuits Conference (ISSCC) here. They rallied several hundred chip designers at this annual gathering to think out of the box.

    “The ending of Moore’s law and Denard scaling means that new innovations are needed in instruction set architectures … I think we are entering another renaissance in computer architecture,” said David A. Paterson, professor emeritus at the University of California-Berkeley, noting that venture capitalists spent as much as $1.5 billion on chip startups last year.

    Reply
  26. Tomi Engdahl says:

    Scientists Develop Technique for Lasing Highly Conductive Graphene onto Food and Other Materials
    https://blog.hackster.io/scientists-develop-technique-for-lasing-highly-conductive-graphene-onto-food-and-other-materials-e52607965d18

    In almost all modern electronics, that material is copper because it’s relatively abundant and easy to work with. But, that doesn’t mean copper is the only choice, or even the best choice. Graphene is far more conductive, and now it can be etched onto a wide variety of materials with just a laser thanks to scientists at Rice University.

    Rice researchers are using an industrial laser to create graphene patterns on objects, including food and cloth

    Scientists create patterned graphene onto food, paper, cloth, cardboard
    https://m.phys.org/news/2018-02-scientists-patterned-graphene-food-paper.html

    The Rice lab of chemist James Tour, which once turned Girl Scout cookies into graphene, is investigating ways to write graphene patterns onto food and other materials to quickly embed conductive identification tags and sensors into the products themselves.

    “This is not ink,” Tour said. “This is taking the material itself and converting it into graphene.”

    The process is an extension of the Tour lab’s contention that anything with the proper carbon content can be turned into graphene. In recent years, the lab has developed and expanded upon its method to make graphene foam by using a commercial laser to transform the top layer of an inexpensive polymer film.

    Reply
  27. Tomi Engdahl says:

    FinFETs Shimmy to 5G’s Frequencies
    https://spectrum.ieee.org/tech-talk/semiconductors/devices/finfets-shimmy-to-5gs-frequencies

    Engineers at Purdue University and GlobalFoundries have gotten today’s most advanced transistors to vibrate at frequencies that could make 5G phones and other gadgets smaller and more energy efficient. The feat could also improve CPU clocks, make wearable radars, and one day form the basis of a new kind of computing. They presented their results today at the IEEE International Solid-States Circuits Conference, in San Francisco.

    “You’d get much better performance if you start with a good oscillator at 32 GHz instead of multiplying,”

    Taking their cue from tuning forks, engineers have tried integrating resonators using microelectromechanical systems. These have components tuned to physically vibrate at a particular frequency and produce a useable electronic signal. But they have limitations. Most require different processing than the CMOS chip they’re on

    Weinstein’s group instead found a way to make a MEMS resonator using the CMOS transistors themselves. Leading-edge chips today rely on FinFETs

    Reply
  28. Tomi Engdahl says:

    Global DRAM output value to rise over 30% in 2018, says DRAMeXchange
    http://www.digitimes.com/news/a20180213VL203.html

    Output value for the global DRAM memory industry will increase more than 30% to US$96 billion in 2018, following a robust 76% surge, according to DRAMeXchange.

    The industry output value for the fourth quarter of 2017 climbed 14.2% sequentially to nearly US$21.9 billion, driven by a seasonal pickup in demand for smartphones and rising mobile DRAM prices, said DRAMeXchange.

    DRAM contract quotes for the first quarter of 2018 will continue their upward trend, DRAMeXchange indicated. Mobile DRAM prices will increase around 3% on quarter, though smartphone sales have started to slow down. Meanwhile, PC DRAM prices are expected to rise about 5% sequentially while prices of server-use memory will see a 3-5% rally.

    Reply
  29. Tomi Engdahl says:

    Nokia wants to sell its healthcare business

    Nokia today announced massive co-determination negotiations for both the company’s online business and Technologies that develop new technologies. As part of the arrangements, Nokia is announcing that it has started evaluating strategic options for its digital healthcare business unit, Nokia Technologies.

    In practice, this means that a digital healthcare unit is sought for a buyer. The business unit’s product portfolio includes both consumer and business products, both manufactured and sold by the unit. The range includes smartphones, scales and other digital healthcare equipment.

    Nokia bought Withings in the spring of 2016 with 170 million euros. At that time, many were shocked by the company’s decision to enter into a business that was not directly related to its previous core activities.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=7566&via=n&datum=2018-02-15_15:01:17&mottagare=31202

    Reply
  30. Tomi Engdahl says:

    New world record in big solar cell

    Chinese trina solar says it has achieved a new world record in the efficiency of large silicon-based solar cells. The record is now recorded at 25.04 percent.

    It is an n-type monocrystalline solar cell whose size is 243.18 square centimeters. The voltage of the collectors was up to 715.6 millivolts. The results are confirmed in the Japan Electric Safety and Environmental Technology Laboratory (JET).

    Source: http://etn.fi/index.php?option=com_content&view=article&id=7564&via=n&datum=2018-02-15_15:01:17&mottagare=31202

    Reply
  31. Tomi Engdahl says:

    The world’s smallest SRAM cell

    San Francisco’s ISSCC conference introduced SRAM circuits, where cell size shrinking further. Intel made its own 10 nanometers process, but Samsung made it better at 7 nanometers.

    Samsung’s 256 megabit circuit was also manufactured by EUV lithography. So far, this technology has not produced commercial chips. Many analysts have doubts whether Samsung is still ready for it.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=7562&via=n&datum=2018-02-15_15:01:17&mottagare=31202

    Reply
  32. Tomi Engdahl says:

    Taiwan semiconductor output forecast to grow almost 6% in 2018
    http://focustaiwan.tw/news/aeco/201802130013.aspx

    Taipei, Feb. 13 (CAN) The production value of the local integrated circuit sector is expected to grow almost 6 percent in 2018 from the previous year on the back of continued solid demand, the Taiwan Semiconductor Industry Association (TSIA) said Tuesday.

    It forecast that the output of the local IC sector will increase by an annual 5.8 percent to NT$2.6 trillion (US$88.44 billion) this year, with the IC design segment giving the strongest performance of 6.6 percent growth.

    Reply
  33. Tomi Engdahl says:

    EUV Milestones, Challenges Ahead
    https://www.eetimes.com/author.asp?section_id=36&doc_id=1332961

    EUV lithography faces short-term gains and long-term challenges in resist, actinic inspection, mask and mask pellicles and light sources.

    A leading chip maker will start inserting extreme ultraviolet lithography (EUVL) into commercial production this year. However, there are still some pending issues which will impact how quickly remaining chip makers start using EUVL in fabs–scanner uptime (mostly related to source), lack of commercial actinic patterned mask inspection tools and readiness of EUV mask pellicles.

    The 250 W sources and corresponding mask pellicles are almost ready for 125 wafers per hour throughput scanners. This year, I expect to see progress toward 90 percent uptime for high volume manufacturing with EUVL scanners. All of this should speed adoption for remaining leading-edge chip makers.

    Readiness of commercial resists will be a leading challenge for EUVL in future nodes. EUV resists use secondary electrons chemistry, a different approach than current resists. We need to figure out all the knobs to address random printing failures and micro bridging, which were reported last year.

    Reply
  34. Tomi Engdahl says:

    AI, cloud, and IoT will drive 2018 growth, say chip makers
    https://venturebeat.com/2018/02/13/ai-cloud-and-iot-will-drive-2018-growth-say-chip-makers/

    Artificial intelligence, cloud computing, and the internet of things (IoT) will have bigger impacts on the revenues of chip makers in 2018, according to accounting firm KPMG‘s survey of 150 semiconductor industry leaders.

    Two-thirds of the leaders cited IoT as one of the top revenue drivers, up from 56 percent in last year’s survey. Cloud computing and AI were each cited by 43 percent of leaders, compared to 27 percent last year for cloud and 18 percent for AI. Wireless communications was at the top of the list, but it was cited by fewer respondents this year.

    “The increasing demand for IoT, AI, and cloud applications is driven by their individual value and their value to each other. Cloud infrastructure is critical to enabling AI and capturing IoT-produced data. AI will enable better analysis and use of the data,”

    Reply
  35. Tomi Engdahl says:

    DRAM growth will only continue and continue

    DRAMs are sold this year for nearly 100 billion dollars, or around 78 billion euros. As the market grew 76 percent last year, this year the increase will be 30 percent.

    According to the DRAMexchange research institute, growth is still largely due to the rise in the average price of districts. For example, the average price of three major manufacturers for PC memory in January was $ 33. The sum is five percent higher than in December.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=7559&via=n&datum=2018-02-14_15:22:43&mottagare=31202

    Reply
  36. Tomi Engdahl says:

    Chip Aging Accelerates
    https://semiengineering.com/chip-aging-accelerates/

    As advanced-node chips are added into cars, and usage models shift inside of data centers, new questions surface about reliability.

    Reliability is becoming an increasingly important proof point for new chips as they are rolled out in new markets such as automotive, cloud computing and industrial IoT, but actually proving that a chip will function as expected over time is becoming much more difficult.

    In the past, reliability generally was considered a foundry issue. Chips developed for computers and phones lasted an average of two to four years of normal use. After that, functionality began to degrade and users upgraded to the next rev of a product, which boasted more features, better performance and, longer periods between battery charges. But as chips are developed for new markets, or markets where there were less-sophisticated electronics in the past-automotive, machine learning, IoT and IIoT, virtual and augmented reality, home automation, cloud, cryptocurrency mining-this is no longer a simple checklist item.

    Each of those end markets has unique needs and characteristics, which affects how chips are used and under what conditions. That, in turn, has a big impact on aging, safety, and other factors.

    “We are seeing an acceleration of aging where the chip breaks down,” said Magdy Abadir, vice president of marketing at Helic. “They may be missing clocks or there is extra jitter. Or there is dielectric breakdown. And anytime something breaks down, there is an avalanche of new things you have to worry about. A lot of aging models advanced in an era where electronics were used sporadically. Now chips are running all the time. Inside of a chip, blocks are heating up, so aging is accelerated. From that you get all types of weird phenomena. A lot of companies have not revised their aging models, either. They assumed these devices would last three to four years, but they may fail sooner. And given that design margins from the beginning can be flimsy, aging can throw them off.”

    Utilization trends are shifting inside of automobiles, as well, and that will continue until fully autonomous vehicles replace human drivers. The vehicles are processing an increasing amount of data, some of which is being streamed from sensors such as radar, LiDAR and cameras. And all of that data needs to be processes in a shorter time than in the past with a high degree of accuracy, which puts enormous stress on electronics.

    “The reliability for ADAS is a minimum of 15 years, which is a lot different than the 2 to 5 years for modules in the past,”

    Reply
  37. Tomi Engdahl says:

    Symptoms Of SoC Electromagnetic (EM) Crosstalk
    https://semiengineering.com/symptoms-of-soc-electromagnetic-em-crosstalk/

    Digging into the reasons for unexplained design failure or performance degradation.

    Have you ever had your silicon demonstrate unexpected behavior? Have you ever found unexplainable design failure or performance degradation? A number of issues could be the culprit – from overloaded signal nets, a noisy power grid, or increasing temperature – but one problem often overlooked is electromagnetic (EM) crosstalk.

    Electromagnetic (EM) crosstalk is unwanted interference from one or more signals (aggressors) affecting another signal (victim) through energy coupling via electric (capacitive) and/or magnetic (inductive) field. Traditionally, crosstalk in SoC design has been viewed primarily as capacitive coupling driven

    The current design trends such as decreasing feature size, 10GHz+ clock / 10Gbps+ data line speeds, lower noise margin driven by low-power techniques, and tighter integration of high speed analog and RF blocks with digital logic means you can no longer ignore crosstalk through magnetic coupling. However, considering the impact of EM crosstalk on design analysis and sign-off is not simple.

    Reply
  38. Tomi Engdahl says:

    ISSCC Keynotes Call for Creativity
    Chip advances require fresh ideas, speakers say
    https://www.eetimes.com/document.asp?doc_id=1332959

    “The ending of Moore’s law and Denard scaling means that new innovations are needed in instruction set architectures … I think we are entering another renaissance in computer architecture,” said David A. Paterson, professor emeritus at the University of California-Berkeley, noting that venture capitalists spent as much as $1.5 billion on chip startups last year.

    “The incoming class of Ph.D. candidates is the best we can remember, and undergrads are getting excited about designing hardware again — neural networks a big part of it,” he said, citing the Tensorflow Processor Unit that he contributed to at Google and the RISC-V architecture that he helped launch.

    Reply
  39. Tomi Engdahl says:

    Intel’s Microprocessor Share Slips Below 60%
    https://www.eetimes.com/document.asp?doc_id=1332968

    With PC shipments in continual decline and smartphone growth exploding over the past few years, Intel’s share of the overall microprocessor market has slipped to below 60 percent, according to market watcher IC Insights.

    While PC processors for computer and servers still account for more than half of the MPU market — a projected 52 percent in 2018 — ARM-based mobile SoCs and embedded processors for automotive, IoT and other applications grew faster than other categories of MPUs over the past five years, IC Insights said.

    Intel has long been the dominant supplier of PC microprocessors, nearly all of which are based on Intel’s x86 architecture and supplied by Intel and its significantly smaller rival, AMD. Intel’s share of the overall MPU market had been more than 75 percent for most of the last decade, IC Insights noted.

    In all, IC Insights projects the microprocessor market to be worth about $74.5 billion in 2018, an increase of 4 percent from 2017.

    In unit terms, MPU shipments are expected to increase by 2 percent in 2018, reaching 2.6 billion units, according to the forecast. MPU unit shipments are projected to rise at a 2.1 percent CAGR from 2017 through 2022, the firm said.

    Reply
  40. Tomi Engdahl says:

    Samsung Tops Intel with EUV SRAM
    Working 256-Mbit chip eased EUV concerns
    https://www.eetimes.com/document.asp?doc_id=1332965

    Intel claimed that it maintained Moore’s law scaling with a 10-nm SRAM that it described here at the International Solid-State Circuits Conference (ISSCC). However, Samsung followed by describing a smaller 256-Mbit SRAM made with extreme ultraviolet lithography and expressed confidence in EUV.

    Reply
  41. Tomi Engdahl says:

    Secure Development Lifecycle for Hardware Becomes an Imperative
    https://www.eetimes.com/author.asp?section_id=36&doc_id=1332962

    Given recent events, its time for chip makers to take a page from the software vendor handbook and step up their game in heading off potentially costly threats.

    A Secure Development Lifecycle (SDL) for hardware with appropriate hardware security products could have prevented the recent Meltdown and Spectre vulnerabilities affecting Intel, ARM and AMD processor architectures. An SDL is the process of specifying a security threat model and then designing, developing and verifying against that threat model.

    Many in the software domain are familiar with SDL, which is a process invented by Microsoft to improve the security of software. To make this process as efficient as possible, the software domain is filled with widely deployed static and dynamic analysis tools to provide automation around security review for various stages of the development lifecycle.

    Reply
  42. Tomi Engdahl says:

    150VIN & VOUT Synchronous 4-Switch Buck-Boost Controller with Integrated Switching Bias Supply
    https://www.eeweb.com/profile/eeweb/news/150vin-vout-synchronous-4-switch-buck-boost-controller-with-integrated-switching-bias-supply

    Analog Devices announces the Power by Linear™ LTC3777, a 150V high efficiency (up to 99%) 4-switch synchronous buck-boost DC/DC controller, which operates from input voltages above, below or equal to the regulated output voltage. Its 4.5V to 150V input voltage range operates from a high input voltage source or from an input that has high voltage surges, eliminating the need for external surge suppression devices, ideal for transportation, industrial and medical applications.

    To prevent high on-chip power dissipation in high input voltage applications, the LTC3777 integrates a low quiescent current high efficiency switching bias supply for its internal power consumption. The output voltage of the LTC3777 can be set from 1.2V to 150V at output currents up to tens of amps, depending on the choice of external components. Output power up to 500W can be delivered with a single device. Higher powers can be achieved when multiple circuits are configured in parallel. The LTC3777′s powerful 1.5Ω N-channel MOSFET gate drivers can be adjusted from 6V to 10V, enabling the use of logic-level or standard-threshold MOSFETs.

    Reply
  43. Tomi Engdahl says:

    Intel’s Microprocessor Share Slips Below 60%
    https://www.eetimes.com/document.asp?doc_id=1332968

    With PC shipments in continual decline and smartphone growth exploding over the past few years, Intel’s share of the overall microprocessor market has slipped to below 60 percent, according to market watcher IC Insights.

    While PC processors for computer and servers still account for more than half of the MPU market — a projected 52 percent in 2018 — ARM-based mobile SoCs and embedded processors for automotive, IoT and other applications grew faster than other categories of MPUs over the past five years, IC Insights said.

    Reply
  44. Tomi Engdahl says:

    STMicro Licenses LDMOS From Chinese Chip Startup
    https://www.eetimes.com/document.asp?doc_id=1332977

    STMicroelectronics has licensed laterally diffused metal oxide semiconductor (LDMOS) RF power technology from Innogration Technologies, a Chinese semiconductor company specializing in the design and manufacturing of RF power semiconductor devices, modules, and sub-system assemblies.

    LDMOS is a mature technology suited for applications such as wireless infrastructure, industrial, scientific, medical, avionics, radar and non-cellular radio. Combining a short conduction-channel length with a high breakdown voltage, LDMOS devices are typically used in RF power amplifiers —where they can be used in base stations for wireless communications systems — as well as in the power amplifiers for commercial and industrial systems.

    Reply

Leave a Comment

Your email address will not be published. Required fields are marked *

*

*