Electronics trends for 2018

Here are some of my collection of newest trends and predictions for year 2018. I have not invented those ideas what will happen next year completely myself. I have gone through many articles that have given predictions for year 2018. Then I have picked and mixed here the best part from those articles (sources listed on the end of posting) with some of my own additions to make this posting.This article contains very many quotations from those source articles (hopefully all acknowledged with link to source).

The general trend in electronics industry is that the industry growth have been driven by mobile industry. Silicon content in smartphones and other mobile devices is increasing as vendors add greater functionality. Layering on top of that are several emerging trends such as IoT, big data, AI and smart vehicles that are creating demand for greater computing power and expanding storage capacity.

 

Manufacturing trends

According to Foundry Challenges in 2018 article the silicon foundry business is expected to see steady growth in 2018. The growth in semiconductor manufacturing will remain steady, but there will be challenges in the manufacturing capacity and  expenses to move to the next nodes. For most applications, unless you must have highest levels of performance, there may not be as compelling a business case to focus on the bleeding-edge nodes. Over the last two years, the IC industry has experienced an acute shortage of 200mm fab capacity (legacy MCU, power, sensors, 6-micron to 65nm). In 2018, 200mm capacity will remain tight. An explosion in 200mm demand has set off a frenzied search for used semiconductor manufacturing equipment that can be used at older process nodes. The problem is there is not enough used equipment available. The profit margins in manufacturing are so thin in markets served by those fabs that it’s hard to justify paying current rising equipment prices, and newcomers may have a tough time making inroads. Foundries with fully depreciated 200mm equipment and capacity already are seeing increased revenues in their 200mm business.The specialty foundry business is undergoing a renaissance, thanks to the emergence of 5G and automotive.

300mm is expected to follow a similar path for lack of capacity because 300mm fabs already produce leading-edge chips and more mainstream 300mm demand is driven by MCUs, wireless communications and storage applications. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm

In 2017, marking the first time that the semiconductor equipment market has exceeded the previous market high of US$47.7 billion set in 2000. Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAM. In 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017. In 2018, 7.5 percent growth is expected to result in sales of US$60.1 billion for the global semiconductor equipment market – another record-breaking year. Demand looks solid across the three main growth drivers for fab tool vendors—DRAM, NAND and foundry/logic.
Rising demand for chips is hitting the IC packaging supply chain, causing shortages of select manufacturing capacity, various package types, leadframes and even some equipment. Spot shortages for some IC packages began showing up in 2017, but the problem has been growing and spreading since then, so  packaging customers may encounter select shortages well into 2018Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018.

Market for advanced packaging begins to diverge based on performance and price. Advanced Packaging is now viewed as the best way to handle large amounts of data at blazing speeds.

Moore’s law

Many recent publications say Moore’s Law is dead. Though Moore’s Law is dead may be experiencing some health challenges, it’s not time to start digging the grave for the semiconductor and electronics market yet

Even smaller nodes are still being taken to use in high end chips. The node names are confusing. Intel’s 10nm technology is roughly equivalent to the foundry 7nm node.In 2018, Intel is expected to finally ramp up 10nm finally in the first half of 2018. In addition, GlobalFoundries, Samsung and TSMC will begin to ship their respective 7nm finFET processes. On the leading edge, GlobalFoundries, Intel, Samsung and TSMC start migrating from the 16nm/14nm to the 10nm/7nm logic nodes. It is expected that some chip-makers face some challenges on the road. Time will tell if GlobalFoundries, Samsung and TSMC will struggle at 7nm. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm. 7nm is projected to generate sales from $2.5 billion to $3.0 billion in 2018. Over time 10nm/7nm is expected to be a big and long-running node. Suppliers of FPGAs and processors are expected to jump on 10nm/7nm.

South Korea’s Samsung Electronics said it has commenced production of the second generation of its 10nm-class 8-Gb DDR4 DRAM. Devices labeled 10nm-class have feature sizes as small as 10 to 19 nanometers. With the continued need for shrinking pattern dimensions, semiconductor manufacturers continue to implement more complex patterning techniques, such as advanced multi-patterning, for the 10nm design node and beyond. They also are investing significant development effort in readying EUV lithography for production at the 7/5nm design nodesSamsung is planning to begin transitioning to EUV for logic chips next year at the 7nm node, although it is unclear when the technology will be put into production for DRAM.

There will be talk on even smaller nodes. FinFETs will get extended to at least to 5nm, and possibly 3nm in next 5 years. The path to 5nm loks pretty clear. FinFETs will get extended at least to 5nm. It’s possible they will get extended to 3nm. EUV will be used at new nodes, followed by High NA Lithography. New smaller nodes challenges the chip design as abstractions become more difficult at 7nm and beyond. Models are becoming more difficult to develop, integrate and utilize effectively at 10/7nm and beyond as design complexity, process variation and physical effects add to the number of variables that need to be taken into account. Materials and basic structures may diverge by supplier, at 7 nm and beyond. Engineering and scientific teams at 3nm and beyond will require completely different mixes of skills than today.

Silicon is still going strong, but the hard fact is that CMOS has been running out of steam for several nodes, and that becomes more obvious at each new node. To extend into new markets and new process nodes Chipmakers Look To New Materials. There are a number of compounds in use already (generally are being confined to specific niche applications), such as gallium arsenide, gallium nitride, and silicon carbide. Silicon will be supplemented by 2D materials to extend Moore’s Law. Transition metal dichalcogenides (TMDCs), a class of 2D materials derived from basic elements—principally tellurium, selenium, sulfur, and oxygen—are being widely explored by researchers. TMDCs are functioning as semiconductors in conjunction with graphene. Graphene, the wonder material rediscovered in 2004, and a host of other two-dimensional materials are gaining ground in manufacturing semiconductors as silicon’s usefulness begins to fade. Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. Future progress increasingly will require a mix of different materials and disciplines, but silicon will remain a key component.

Interconnect Materials need to to be improved. For decades, aluminum interconnects were the industry standard. In the late 1990s, chipmakers switched to copper. Over the years, transistors have decreased dramatically in size, so interconnects also have had to scale in size leading to roadblock known as the RC challenge. Industry is investing significant effort in developing new approaches to extend copper use and finding new metals. There’s also some investigation into improvements on the dielectric side. The era of all-silicon substrates and copper wires may be coming to an end.

Application markets

Wearables are a question mark. Demand for wearables slowed down in 2017 so much that smart speakers likely outsold wearable devices in 2017 holiday season.  eMarketer is estimating that usage of wearable will grow just 11.9 percent in 2018, rising from 44.7 million adult wearable users in 2017 to 50.1 million in 2018. On the other hand market research firm IDC estimates that the shipments of wearable electronics devices are projected to more than double over the next five years as watches displace fitness trackers as the biggest sellers. IDC forecasts that wearables shipments will increase at a compound annual growth rate of 18.4 percent between 2017 and 2021, rising from 113.2 million this year to 222.3 million in 2021. At the same time fitness trackers are expected to become commodity product. Tomorrow’s wearables will become more fully featured and multi-functional.

The automotive market for semiconductors is shifting into high gear in 2018. Right now the average car has about $350 worth of semiconductor content, but that is projected to grow another 50% by 2023 as the overall automotive market for semiconductors grows from $35 billion to $54 billion. The explosion of drive-by-wire technology, combined with government mandates toward fully electric powertrains, has changed this paradigm—and it impacts more than just the automotive industry. Consider implications beyond the increasingly complex vehicle itself, including new demands on supporting infrastructure. The average car today contains up to 100 million lines of code. Self-driving car will have considerably more code in it. Software controls everything from safety critical systems like brakes and power steering, to basic vehicle controls like doors and windows. Meeting ISO 26262 Software Standards is needed but it will not make the code bug free. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC. The shift to autonomous vehicles marks a major shift in the supply chain—and a major opportunity.

Many applications have need for a long service life — for example those deployed within industrial, scientific and military industries. In these applications, the service life may exceed that of component availability. Replacing an advanced, obsolete components in a design can be very costly, potentially requiring an entire redesign of the electronic hardware and software. The use of programmable devices helps designers not only to address component obsolescence, but also to reduce the cost and complexity of the solution. Programmable logic devices are provided in a range of devices of different types, capabilities and sizes, from FPGAs to System on Chips (SoC) and Complex Programmable Logic Devices (CPLD). The obsolete function can be emulated within the device, whether it is a logic function implemented in programmable logic in a CPLD, FPGA or SoC, or a processor system implemented in an FPGA or SoC.

Become familiar with USB type C connector. USB type C connector is becoming quickly more commonplace than any other earlier interface. In the end of 2016 there were 300 million devices using a USBC connection – a big part was smartphones, but the interface was also widespread on laptops. With growth, the USBC becomes soon the most common PC and peripheral interface. Thunderbolt™ 3 on USBC connector promises to fulfill the promise of USB-C for single-cable docking and so much more.

 

Power electronics

The power electronics market continues to grow and gain more presence across a variety of markets2017 was a good year for electric vehicles and the future of this market looks very promising. In 2017, we saw also how wireless charging technology has been adopted by many consumer electronic devices- including Apple smart phones. Today’s power supplies do more than deliver clean and stable dc power on daily basis—they provide advanced capabilities that can save you time and money.

Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. At the moment, the number of applications for those materials is steadily increasing in the automotive and military industry. Expect to see more adoption of SiC and GaN materials in automotive market.

According to Battery Market Goes Bigger and Better in 2018 article advances in battery technologies hold the keys to continuing progress in portable electronics, robotics, military, and telecommunication applications, as well as distributed power grids. It is difficult to see lithium-ion based batteries being replaced anytime soon, so the advances in battery technology are primarily through the application of lithium-ion battery chemistries. New battery protection for portable electronics cuts manufacturing steps and costs for Lithium-ion.

Transparency Market Research analysts predict that the global lithium-ion battery market is poised to rise from $29.67 billion in 2015 to $77.42 billion in 2024 with a compound annual growth rate of 11.6 %. That growth has already spread from the now ubiquitous consumer electronics segment to automotive, grid energy, and industrial applications. Dramatic increase is expected for battery power for the transportation, consumer electronic, and stationary segments. According to Bloomberg New Energy Finance (BNEF), the global energy-storage market will double six times between 2016 and 2030, rising to a total of 125 G/305 gigawatt-hours. In 2018, energy-storage systems will continue proliferating to provide backup power to the electric grid.

Memory

Memory business boomed in 2017 for both NAND and DRAM. The drivers for DRAM are smartphones and servers. Solid-state drives (SSDs) and smartphones are fueling the demand for NAND.  Both the DRAM and NAND content in smartphones continues to grow, so memory business will do well in 2018.Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAMIn 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017.

NAND Market Expected to Cool in Q1 from the crazy year 2017, but it is still growing well because there is increasing demand. The average NAND content in smartphones has been growing by roughly 50% recently, going from approximately 24 gigabytes in 2016 to approximately 38 gigabytes today.3D NAND will do the heavy memory lifting that smartphone users demand. Contract prices for NAND flash memory chips are expected to decline in during the first quarter of 2018 as a traditional lull in demand following the year-end quarter.

Lots of 3D NAND will go to solid state drives in 2018. IDC forecasts strong growth for the solid-state drive (SSD) industry as it transitions to 3D NAND.  SSD industry revenue is expected to reach $33.6 billion in 2021, growing at a CAGR of 14.8%. Sizes of memory chips increase as number of  layer in 3D NAND are added. We’ve already scaled up to 48 layers. Does this just keep scaling up, or are there physical limits here? Maybe we could see a path to 256 layers in few years.

Memory — particular DRAM — was largely considered a commodity business. Though that it’s really not true in 2017. DRAM memory marked had boomed in 2017 at the highest rate of expansion in 23 years, according to IC Insights. Skyrocketing prices drove the DRAM market to generate a record $72 billion in revenue, and it drove total revenue for the IC market up 22%. Though the outlook for the immediate future appears strong, a downturn in DRAM more than likely looms in the not-too-distant future. It will be seen when there are new players on the market. It is a largely unchallenged assertion that Chinese firms will in the not so distant future become a force in semiconductor memory market. Chinese government is committed to pumping more than $160 billion into the industry over a decade, with much of that ticketed for memory startups.

There is search for faster memory because modern computers, especially data-center servers that skew heavily toward in-memory databases, data-intensive analytics, and increasingly toward machine-learning and deep-neural-network training functions, depend on large amounts of high-speed, high capacity memory to keep the wheels turning. The memory speed has not increased as fast as the capacity. The access bandwidth of DRAM-based computer memory has improved by a factor of 20x over the past two decades. Capacity increased 128x during the same period. For year 2018 DRAM remains a near-universal choice when performance is the priority. There has been some attempts to very fast memory interfaces. Intel the company has introduced the market’s first FPGA chip with integrated high-speed EMBED (Embedded Multi-Die Interconnect Bridge): The Stratix 10 MX interfaces to HMB2 memory (High Memory Bandwidth) that offers about 10 times faster speed than standard DDR-type DIMM.

There is search going on for a viable replacement for DRAM. Whether it’s STT-RAM or phase-change memory or resistive RAM, none of them can match the speed or endurance of DRAM. Necessity is the mother of invention, and we see at least two more generations after 1x. XPoint is also coming up as another viable memory solution that could be inserted into the current memory architecture. It will be interesting to see how that plays out versus DRAM.

5G and IoT

5G something in it for everyone. 5G is big.  5G New Radio (NR) wireless technology will ultimately impact everyone in the electronics and telecommunications industries. Most estimates say 2020 is when we will ultimately see some real 5G deployments on a scale. In the meantime, companies are firming up their plans for whatever 5G products and services they will offer. Though test and measurement solutions will be key in the commercialization cycle. 5G is set to disrupt test processes. If 5G takes off, the technology will propel the development of new chips in both the infrastructure and the handset. Data centers require specialty semiconductors from power management to high-speed optical fiber front-ends. 5G systems will drive more complexity in RF front-ends .5G will offer increased capacity and decreased latency for some critical applications such as vehicle-to-vehicle (V2V) or vehicle-to-infrastructure (V2I) communications for advanced driver assistance systems (ADAS) and self-driving vehicles. The big question is whether 5G will disrupt the landscape or fall short of its promises.

Electronics manufacturers expect a lot from Internet of Thing. The evolution of intelligent electronic sensors is creating a revolution for IoT and Industrial IoT as companies bring new sensor-based, intelligent systems to market. The business promise is that the proliferation of smart and connected “things” in the Industrial Internet of Things (IIoT) provides tremendous opportunities for increased performance and lower costs. Industrial Internet of Things (IIoT) has a market forecast approaching $100 billion by 2020. Turning volumes of factory data into actionable information that has value is essential. Predictive maintenance and asset tracking are two big IoT markets to watch in 2018 because they will provide real efficiencies and improved safety. It will be about instrumenting our existing infrastructures with sensors that improve their reliability and help predict failures. It will be about tracking important assets through their lifecycles.

A new breed of designers has arrived that is leveraging inexpensive sensors to build the intelligent systems at the edge of the Internet of Things (IoT). They work in small teams, collaborate online, and they expect affordable design tools that are easy to use in order to quickly produce results. Their goal is to deliver a functioning device or a proof-of-concept to their stakeholders while spending as little money as possible to get there. We need to become multi-functional engineers who can comfortably work in the digital, RF, and system domains.

The Io edge sensor  device usually needs to be cheap. Simple mathematical reasoning suggests that the average production cost per node must be small, otherwise the economics of the IoT simply are not viable. Most suppliers to the electronics industry are today working under the assumption that the bill-of-materials (BoM) cost of a node cannot exceed $5 on average. While the sensor market continues to garner billions of dollars, the average selling price of a MEMS sensor, for example, is only 60 cents.

Designing a well working and secure IoT system is still hard. IoT platforms are very complex distributed systems and managing these distributed systems is often an overlooked challenge. When designing for the IoT, security needs to be addressed from the Cloud down to each and every edge device. Protecting data is both a hardware and a software requirement, as more data is being stored and analyzed in edge devices and gateways.

The continued evolution of powerful embedded processors is enabling more functionality to be consolidated into single heterogeneous multicore devices. You will see more mixed criticality designs – those designs which contain both safety-critical and non-safety critical processes running on the same chip. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC.

AI

There is clearly a lot of hype surrounding machine learning (ML) and artificial intelligence (AI) fields. Over the past few years, machine learning (ML) has evolved from an interesting new approach that allows computers to beat champions at chess and Go, into one that is touted as a panacea for almost everything. Machine learning already has delivered beneficial results in certain niches, but it has potential for a bigger and longer lasting impact because of the demand for broad insights and efficiencies across industries. Also EDA companies have been investing in this technology and some results are expected to be announced.

The Battle of AI Processors Begins in 2018. Machine learning applications have a voracious appetite for compute cycles, consuming as much compute power as they can possibly scrounge up. As a result, they are invariably run on parallel hardware – often parallel heterogeneous hardware—which creates development challenges of its own. 2018 will be the start of what could be a longstanding battle between chipmakers to determine who creates the hardware that artificial intelligence lives on. Main contenders on the field at the moment are CPUs, GPUs, TPUs (tensor processing units), and FPGAs. Analysts at both Research and Markets and TechNavio have predicted the global AI chip market to grow at a compound annual growth rate of about 54% between 2017 and 2021.

 

Sources:

Battery Market Goes Bigger and Better in 2018

Foundry Challenges in 2018

Smart speakers to outsell wearables during U.S. holidays, as demand for wearables slows

Wearables Shipments Expected to Double by 2021

The Week In Review: Manufacturing #186

Making 5G Happen

Five technology trends for 2018

NI Trend Watch 2018 explores trends driving the future faster

Creating Software Separation for Mixed Criticality Systems

Isolating Safety and Security Features on the Xilinx UltraScale+ MPSoC

Meeting ISO 26262 Software Standards

DRAM Growth Projected to be Highest Since ’94

NAND Market Expected to Cool in Q1

Memory Market Forecast 2018 … with Jim Handy

Pushing DRAM’s Limits

3D NAND Storage Fuels New Age of Smartphone Apps

$55.9 Billion Semiconductor Equipment Forecast – New Record with Korea at Top

Advanced Packaging Is Suddenly Very Cool

Fan-Outs vs. TSVs

Shortages Hit Packaging Biz

Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018

Rapid SoC Proof-of-Concept for Zero Cost

EDA Challenges Machine Learning

What Can You Expect from the New Generation of Power Supplies?

Optimizing Machine Learning Applications for Parallel Hardware

FPGA-dataa 10 kertaa nopeammin

The 200mm Equipment Scramble

Chipmakers Look To New Materials

The Trouble With Models

What the Experts Think: Delivering the next 5 years of semiconductor technology

Programmable Logic Holds the Key to Addressing Device Obsolescence

The Battle of AI Processors Begins in 2018

For China’s Memory Firms, Legal Tests May Loom

Predictions for the New Year in Analog & Power Electronics

Lithium-ion Overcomes Limitations

Will Fab Tool Boom Cycle Last?

The Next 5 Years Of Chip Technology

Chipmakers Look To New Materials

Silicon’s Long Game

Process Window Discovery And Control

Toward Self-Driving Cars

Sensors are Fundamental to New Intelligent Systems

Industrial IoT (IIoT) – Where is Silicon Valley

Internet of things (IoT) design considerations for embedded connected devices

How efficient memory solutions can help designers of IoT nodes meet tight BoM cost targets

What You Need to Become a Multi-Functional Engineer

IoT Markets to Watch in 2018

USBC yleistyy nopeasti

1,325 Comments

  1. Tomi Engdahl says:

    Get Ready For Verification 3.0
    https://semiengineering.com/get-ready-for-verification-3-0/

    Functional verification is going through many changes, and one of EDA’s leading investors sees lots of new possibilities for startups.

    Reply
  2. Tomi Engdahl says:

    CEO Outlook On Chip Industry
    https://semiengineering.com/ceo-outlook/

    Part 1: New opportunities and potential pitfalls in automotive, 5G, connected intelligence and infrastructure as a service in EDA.

    SE: What are the big changes ahead, and where do you see the potential pitfalls?

    Segars: It’s a really exciting time to be in chip design. If you go back a few years, everyone you spoke to in the chip industry was wondering what comes next. They had gone through many years where mobile was driving everything and there was tons of design work to do. And then mobile growth rates started flattening and everyone was pulling their hair out, wondering what is the next big thing. Now we have so many next big things it’s hard to know where to start. There are new communications protocols, whether it’s 5G, LoRA, Narrowband IoT, and new technologies which in themselves require a lot of innovation in semiconductor devices.

    SE: Where do you see the negatives?

    Segars: The cost of building a 7nm chip is not for the faint of heart. Managing complexity gets worse at every node.

    SE: Where do you see the downside?

    Rhines: The lawyers. Nasty things are going on in trade negotiations that don’t appear to have any effect on semiconductors because they would hurt both sides, but they could affect the overall economy.

    SE: What do you see as the negative?

    Pierce: All of the people who want to develop those devices are not chip designers. They’re system architects and software developers. That’s terrifying, because the level of support that the industry will demand or the level of integration that will be required at some higher level of abstraction.

    Drako: One of the big things coming down the pike that will affect the EDA industry is the use of cloud computing farms. It hasn’t quite happened yet, but as we engage with customers we’re hearing more about them wanting to do it, starting to do it. Gartner put out a report that the infrastructure as a service business will be $72 billion by 2021. I don’t see software as a service being used for EDA tools, but I do see infrastructure as a service being used heavily. We have Amazon and Google touting this in a big way. The buzz around this started about 10 years ago, but customers are actually talking about it.

    Reply
  3. Tomi Engdahl says:

    Evaluation of measurement techniques for high speed GaN transistors
    https://www.edn.com/design/power-management/4460649/Evaluation-of-Measurement-Techniques-for-High-Speed-GaN-Transistors

    The increase in switching speed offered by GaN transistors requires good measurement technology, as well as good techniques to capture important details of high-speed waveforms. This article focuses on how to leverage the measurement equipment for the user’s requirement and measurement techniques to accurately evaluate high performance GaN transistors. The article also evaluates high bandwidth differential probes for use with non-ground-referenced waveforms.

    Reply
  4. Tomi Engdahl says:

    Home> Integrated-circuit-design Design Center > How To Article
    Expect glitches… Is your design validated?
    https://www.edn.com/design/integrated-circuit-design/4460658/Expect-glitches–Is-your-design-validated-

    Automotive applications are safety critical, so they require a thorough silicon validation approach to catch any design issues that might have escaped the verification cycle. The validation methodology should be such that it not only stresses the design to find corner cases, but should mimic the actual use-case environment to validate the design.

    A lot of research is being done to enhance automotive silicon validation due to increasing design complexity. But the validation environment is different from the actual in-field environment. Usually, robust interfaces are put in these devices to deal with the noisy environment. But the current industry-wide validation methodology does not stress these interfaces in the actual use-case environment. This can be fatal based on automotive safety constraints.

    Previously, significant work has been done to check the impact of different sorts of noise on the CAN bus [4]. FPGAs were also used to create frames with known ID and payload to generate error scenarios [5]. The proposed solution however tries to inject glitches and bit errors to mimic the noise in ongoing CAN traffic generated by the SoC and it does not require knowledge of the frame contents.

    The proposed methodology tries to mimic the automotive environment and validate that the interfaces are robust enough to deal with such scenarios as per the expectation.

    Reply
  5. Tomi Engdahl says:

    Next Generation iPhone Chips Go Into Production
    https://www.bloomberg.com/news/articles/2018-05-23/apple-partner-tsmc-is-said-to-start-making-chips-for-new-iphones

    Apple Inc. manufacturing partner Taiwan Semiconductor Manufacturing Co. has started mass production of next-generation processors for new iPhones launching later this year, according to people familiar with the matter.

    The processor, likely to be called the A12 chip, will use a 7-nanometer design that can be smaller, faster and more efficient than the 10-nanometer chips in current Apple devices like the iPhone 8 and iPhone X, the people said.

    Reply
  6. Tomi Engdahl says:

    China IC industry output value increases 21% in 1Q18
    https://www.digitimes.com/news/a20180524PD204.html

    China’s IC industry output value grew 20.8% from a year earlier to CNY115.29 billion (US$18.1 billion) in the first quarter of 2018, according to statistics released by the China Semiconductor Industry Association (CSIA).

    The output value of China’s IC design industry sector increased 22% on year to CNY39.45 billion in the first quarter of 2018, while that of the IC manufacturing sector climbed 26.2% from a year ago to CNY35.59 billion, the statistics show.

    Reply
  7. Tomi Engdahl says:

    Foundries encouraging fabless chipmakers to switch to 12-inch wafers
    https://www.digitimes.com/news/a20180523PD208.html

    Pure-play foundries in China and Taiwan intend to encourage their fabless firms to transition to 12-inch wafer manufacturing as their 8-inch fab capacity has been extremely tight, according to industry sources.

    Foundries including Hua Hong Semiconductor, Semiconductor Manufacturing International (SMIC), Taiwan Semiconductor Manufacturing Company (TSMC), United Microelectronics (UMC) and Vanguard International Semiconductor (VIS) have moved to promote their 12-inch fabrication services by offering price discounts to attract orders, the sources indicated. The foundries’ 12-inch fab utilization rates have been relatively low compared to 8-inch ones.

    Suppliers of LCD driver ICs, fingerprint sensors and MCUs, whose orders usually come in large volumes, are being targeted by their foundry partners to upgrade to 12-inch manufacturing process, the sources noted.

    Reply
  8. Tomi Engdahl says:

    Memristor Adds Cybersecurity Layer to IoT Devices
    https://www.techbriefs.com/component/content/article/29062?utm_source=TBnewsletter&utm_medium=email&utm_campaign=20180524_IOT_Insider&eid=376641819&bid=2115804

    The Internet of Things makes our lives more streamlined and convenient, but the cybersecurity risk posed by millions of wirelessly connected devices remains a huge concern. UC Santa Barbara is putting an extra layer of security on the growing number of internet- and Bluetooth-enabled devices with technology that aims to prevent cloning.

    Key to this technology is the memristor, or memory resistor, that can change its output in response to its histories. A circuit made of memristors results in a black box of sorts, with outputs extremely difficultto predict based on the inputs.

    An Ionic Black Box
    UCSB researchers use emerging memory devices to develop electronic circuits for cybersecurity applications
    http://www.news.ucsb.edu/2018/018940/ionic-black-box

    “You can think of it as a black box,” said Strukov, whose new paper, “Hardware-intrinsic security primitives enabled by analogue state and nonlinear conductance variations in integrated memristors,” appears on the cover of Nature Electronics. Due to its nature, the chip is physically unclonable and can thus render the device invulnerable to hijacking, counterfeiting or replication by cyber criminals.

    Key to this technology is the memristor, or memory resistor — an electrical resistance switch that can “remember” its state of resistance based on its history of applied voltage and current. Not only can memristors can change their outputs in response to their histories, but each memristor, due to the physical structure of its material, also is unique in its response to applied voltage and current. Therefore, a circuit made of memristors results in a black box of sorts, as Strukov called it, with outputs extremely difficult to predict based on the inputs.

    “The idea is that it’s hard to predict, and because it’s hard to predict, it’s hard to reproduce,” Strukov said. The multitude of possible inputs can result in at least as many outputs — the more memristors, the more possibilities. Running each would take more time than an attacker may reasonably have to clone one device, let alone a network of them.

    Reply
  9. Tomi Engdahl says:

    “On a Roll” to Smaller Transformers
    https://www.techbriefs.com/component/content/article/29063?utm_source=TBnewsletter&utm_medium=email&utm_campaign=20180524_IOT_Insider&eid=376641819&bid=2115804

    The future of electronic devices lies partly within the “internet of things” – the network of devices, vehicles and appliances embedded within electronics to enable connectivity and data exchange.

    Three-dimensional rolled-up radio frequency transformers are made with carefully patterned metal wires deposited onto stretched 2D thin films. Once the tension is released, the 2D films self-roll into tiny tubes, allowing the primary and secondary wires to coil and nest perfectly inside each other into a much smaller area for optimum magnetic induction and coupling.

    Reply
  10. Tomi Engdahl says:

    Intel to Spend $5 Billion on 10nm Fab in Israel
    https://www.eetimes.com/document.asp?doc_id=1333319

    Intel Corporation plans to invest $5 billion over the next two years to upgrade its fab in Kiryat Gat in Israel from 22nm to 10nm technology.

    There was no official announcement from Intel, but Israel’s ministry of finance said in a statement that approval is expected from Israel’s government bodies in weeks and that the new plant will employ an additional 250 people. Intel had apparently considered several possible expansion sites but, after two years of discussion with Israel’s finance ministry, decided to expand its site in the country.

    Reply
  11. Tomi Engdahl says:

    Startup, Imec Shrink SRAM Cells
    Device uses novel vertical nanowire transistor
    https://www.eetimes.com/document.asp?doc_id=1333312

    A startup led by one of the pioneers of flash memory worked with the Imec research institute to design the smallest SRAM cells to date. The 0.0205-mm2 and 0.0184-mm2 6T-SRAM cells use a vertical gate-all-around transistor being developed by Unisantis as a building block for tomorrow’s leading-edge chips.

    Reply
  12. Tomi Engdahl says:

    IC Capex Projected to Top $100 Billion
    https://www.eetimes.com/document.asp?doc_id=1333320

    Semiconductor industry capital spending is now expected to increase by 14 percent in 2018, pushing it above the $100 billion mark for the first time, according to a revised forecast by IC Insights. The market research firm had said in March it expected capital spending to increase by 8 percent this year.

    Total semiconductor industry capital spending is now expected to be about $104 billion this year, 53 percent higher than the $68 billion that the industry shelled out in capital spending just two years ago in 2016, IC Insights (Scottsdale, Ariz.) said.

    Reply
  13. Tomi Engdahl says:

    Chip Industry Maps Heterogeneous Integration
    Nicky Lu talks about ‘ubiquitous intelligence’
    https://www.eetimes.com/document.asp?doc_id=1333242

    In 25 years, after Facebook, Google, and Amazon have marched toward global domination by designing their own chips, what will the semiconductor industry look like? In a way, we’ve seen that future, and it’s here. Big data analysis, artificial intelligence, augmented and virtual reality, and autonomous vehicles have already emerged, although not yet in perfect form.

    Nonetheless, most chip designers can’t even imagine that brave new world, let alone the inventions that they must fashion to stay relevant. A question that haunts designers is the sheer uncertainty of the industry’s direction. As Moore’s Law nears its “economic dead end” for most chip vendors (except giants like Intel and Samsung), is there somewhere else to turn?

    Lu has long advocated “heterogeneous integration” (HI). He promotes the idea that the semiconductor industry must, at last, outgrow its obsession with pitch shrinkage. To push growth, it must go creative with the “heterogeneous integration of different technologies.” By HI, he does not mean homogeneously integrated SoCs, Systems-in-Package (SiPs), or Multi-Chip Modules (MCMs). Lu views HI as “a holistically integrated approach” that involves system design, algorithms, and software, together with different types of silicon dice such as SoC, DRAM, flashes, A-to-D/D-to-A, power management, security, and reliability control dices.

    Thus far, the chip industry has made great progress in SiP. However, Lu told us, “Now in 2018, I am seeing [the need for] even more sophisticated HI that actually integrates not only silicon dice but also non-silicon materials.”

    Reply
  14. Tomi Engdahl says:

    Philadelphia Opens Its Doors to 2018 IMS
    http://www.mwrf.com/components/philadelphia-opens-its-doors-2018-ims?NL=MWRF-001&Issue=MWRF-001_20180524_MWRF-001_95&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=17532&utm_medium=email&elq2=3c6544ad2f7b4f139c9a201362ffa643

    As the applications extrapolate for wireless devices and interest grows in millimeter-wave frequencies, the RF/microwave industry gathers together with great optimism for the future.

    Reply
  15. Tomi Engdahl says:

    Stretching The Definitions Of A Custom IC
    https://hackaday.com/2018/05/20/stretching-the-definitions-of-a-custom-ic/

    Maker Faire is the nexus for all things new and exciting. At the Bay Area Maker Faire this weekend, zGlue introduced a new platform that stretches the definition of custom ICs. Is this custom silicon? No, not at all. zGlue is a platform allowing anyone to take off-the-shelf ICs and package them into a single module, allowing you to build a smaller PCB with a shorter BOM.

    The idea behind zGlue is to take all of the fun chips available today from accelerometers to tiny microcontrollers with integrated wireless and put them on a tiny, tiny board that is then encapsulated. At Maker Faire, the zGlue team was busy demonstrating their cloud-based platform that allows anyone to add off-the-shelf chips to the zGlue stack and assemble it into a custom module.

    Of course, every new tech startup needs a demo, so zGlue has come up with zOrigin, a small fitness tracker that features a suite of chips crammed into one encapsulated package. The chips included in the zOrigin ZiP package are a Dialog DA14585 microcontroller with BLE, an Analog Devices heart rate monitor, a crystal, a bit of Flash, a power monitoring IC and an accelerometer. There are also thirty passives stuck in this single chip, and with a battery, some LEDs, and a vibration motor, this chip becomes a complete solution for wearable fitness trackers.

    http://www.zglue.com/

    Reply
  16. Tomi Engdahl says:

    Parasitics: A Barrier to Wide Band Gap Adoption in Power Electronics
    http://www.powerelectronics.com/power-electronics-systems/parasitics-barrier-wide-band-gap-adoption-power-electronics?NL=ED-003&Issue=ED-003_20180525_ED-003_592&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=17488&utm_medium=email&elq2=7fcdc8237dcd4842858c0ef48cec1291

    It is not breaking news to tell anyone in the power device or power electronics industry that minimizing parasitics in a design, especially inductance, is better for the overall performance and stability of the design. With WBG devices, that statement is even more important and the level of parasitics that need to be considered changes by an order of magnitude.

    Reply
  17. Tomi Engdahl says:

    Ana Swanson / New York Times:
    Trump tweets that ZTE will have to pay $1.3B fine, give high-level security guarantees, and change its management and board to have US sanctions lifted — WASHINGTON — The Trump administration told lawmakers it had reached a deal that would keep the Chinese telecom firm ZTE alive …
    http://www.nytimes.com/2018/05/25/us/politics/trump-trade-zte.html

    Reply
  18. Tomi Engdahl says:

    Wall Street Journal:
    Sources: China to approve Qualcomm-NXP deal in next few days, but with conditions, as regulators fear merged company’s dominance in areas like mobile payments —

    China Set to Approve Qualcomm-NXP Deal, a Sign of Easing Trade Tensions
    https://www.wsj.com/articles/china-set-to-approve-qualcomm-purchase-of-nxp-semiconductors-1527333552?mod=e2twd

    Chinese regulators have expressed concerns that the merged company would crowd out domestic businesses in areas such as mobile payments

    Reply
  19. Tomi Engdahl says:

    NI Week: Software takes center stage
    https://www.edn.com/electronics-blogs/test-cafe/4460691/NI-Week–Software-takes-center-stage

    For the past six years I’ve reported from NIWeek, National Instruments’ annual technical conference, full of breaking news of NI’s latest hardware and software developments. This week is my seventh consecutive NIWeek, and I expected the same.

    So, you can imagine the surprise in Press Room, when NI chose to focus on four new software products—LabVIEW 2018, FlexLogger, SystemLink, and InstrumentStudio. The only new hardware introduction was ELVIS III, a system for project oriented prototyping and measurement in education. Even that depended extensively on software.

    Reply
  20. Tomi Engdahl says:

    Chip Industry Maps Heterogeneous Integration
    Nicky Lu talks about ‘ubiquitous intelligence’
    https://www.eetimes.com/document.asp?doc_id=1333242

    In 25 years, after Facebook, Google, and Amazon have marched toward global domination by designing their own chips, what will the semiconductor industry look like? In a way, we’ve seen that future, and it’s here. Big data analysis, artificial intelligence, augmented and virtual reality, and autonomous vehicles have already emerged, although not yet in perfect form.

    Nonetheless, most chip designers can’t even imagine that brave new world, let alone the inventions that they must fashion to stay relevant. A question that haunts designers is the sheer uncertainty of the industry’s direction. As Moore’s Law nears its “economic dead end” for most chip vendors (except giants like Intel and Samsung), is there somewhere else to turn?

    Reply
  21. Tomi Engdahl says:

    Samsung Plans 3nm Gate-All-Around FETs in 2021
    https://www.eetimes.com/document.asp?doc_id=1333318

    Samsung Electronics laid out plans to bring to mass production in 2021 the architectural successor to FinFETS, gate-all-around (GAA) transistors, at the 3nm node. The South Korean giant also reaffirmed plans to begin 7nm production using extreme ultraviolet (EUV) lithography in the second half of this year at its annual foundry technology forum here Tuesday (May 22).

    Reply
  22. Tomi Engdahl says:

    Reduce Reactive Grid Currents with Ripple Current Steering Filtering
    http://www.powerelectronics.com/power-electronics-systems/reduce-reactive-grid-currents-ripple-current-steering-filtering?NL=ED-003&Issue=ED-003_20180530_ED-003_587&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=17449&utm_medium=email&elq2=36a73e5531254a9aa480b59b0e888919

    Figure 1 shows a PFC Input schematic with the normal configuration at the top. Figure 2 shows the component changes needed to convert to Ripple Current Steering Filtering.

    X caps have been replaced with C7, a smaller typ. 100nF

    Figure 1 shows a PFC Input schematic with the normal configuration at the top. Figure 2 shows the component changes needed to convert to Ripple Current Steering Filtering.

    The coupling between primary and secondary is not important. (K>0.90 is adequate). C9 will circulate all the high-frequency switching noise to ground and will have the half wave rectified ac voltage across it.

    Reply
  23. Tomi Engdahl says:

    UVM Reactive agents verify with a handshake
    https://www.edn.com/electronics-blogs/day-in-the-life-of-a-chip-designer/4460687/UVM-Reactive-agents-verify-with-a-handshake?utm_source=Aspencore&utm_medium=EDN&utm_campaign=social

    UVM (Universal Verification Methodology) is widely used in creating object-oriented test environments. UVM provides two key benefits to verification engineers; one is reusability; the other is flexibility in terms of implementation. UVM provides flexibility in accessing objects through different types of port connections. These advantages of UVM are used to verify different designs with various verification architectural options. There are design requirements where UVM agents are utilized for stimulus driving only and in parallel, monitoring the same for various verification purposes.

    Reply
  24. Tomi Engdahl says:

    The Week In Review: Manufacturing
    https://semiengineering.com/24134657-2/

    GlobalFoundries began volume production of its 180nm ultra-high-voltage process for industrial and power applications. The base platform starts at 3.3V, with options up to 700V, which the company says will reduce the bill of materials by integrating discrete components onto the same die. The foundry also announced that its 22nm FD-SOI technology has been certified as AEC-Q100 Grade 2 for automotive applications, meaning its technology can withstand stress tests for an extended period of time.

    Imec demonstrated a low-cost “impingement solution” for cooling chips at the package level. The microfluidics technology works like a 3D-printed shower head that sprays coolant directly onto a chip. The research house also showed off its ultra-low power radio for IoT applications with Arm, which uses a nominal power supply as low at 0.8V coupled with ARM’s Bluetooth low energy 5 digital baseband. The radio is targeted at “leave-behind” sensors and wearables.

    The World Semiconductor Council, which represents chip industry associations from China, Taiwan, Europe, Japan, Korea and the United States, issued a wide-ranging statement that included, among other things, support for a tariff-free industry.

    Reply
  25. Tomi Engdahl says:

    The Week In Review: Design
    New IP from Arm; X-pessimism; embedded vision.
    https://semiengineering.com/the-week-in-review-design-132/

    Arm unveiled a new suite of IP for mobile devices, including Arm-based laptops. The suite includes the Cortex-A76 CPU with a new microarchitecture that provides a 35% increase in performance year-over-year and 40% improvement in efficiency compared to the previous generation. There’s also a new version of the Mali-G76 GPU with a focus on gaming and on-device machine learning that provides 30% higher efficiency and performance density over previous generations and the Mali-V76 VPU for UHD 8K decoding and encoding. Cadence released a 7nm Rapid Adoption Kit for the Cortex-A76 including documentation to help optimize existing digital implementation flows. Synopsys announced successful customer tapeouts of the new Arm IP using its platform, as well as a QuickStart Implementation Kit that includes scripts and reference guides for the Cortex-A76 and A55 in 7nm process.

    For Small Screens to Large: Introducing a New Suite of IP for Premium Mobile Experiences
    https://www.arm.com/news/2018/05/arm-announces-new-suite-of-ip-for-premium-mobile-experiences

    News Highlights:

    Arm Cortex-A76 CPU: New microarchitecture enabling 35 percent more performance year-over-year for increased productivity
    Arm Mali-G76 GPU: Untethered gaming and on-device machine learning (ML) with 30 percent higher efficiency and performance over previous generations
    Arm Mali-V76 VPU: Enabling UHD 8K viewing experiences across more devices

    Reply
  26. Tomi Engdahl says:

    Selecting Power Supplies for Peak Load Applications
    http://www.powerelectronics.com/ac-dc-power-supplies/selecting-power-supplies-peak-load-applications?NL=ED-003&Issue=ED-003_20180531_ED-003_931&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=17499&utm_medium=email&elq2=252ff46dd31446f7b8eb2eaeee258bdd

    Selecting and evaluating a power supply for peak load applications based on readily available thermal data, rather than one continuously rated for the full peak power results in a smaller, lighter, lower cost solution without compromising the performance, reliability and lifetime of the end application.

    Specifying an ac-dc power supply for systems with peak load requirements that are higher than the normal power requirements for short periods can result in larger, higher-power, and higher-cost product selection.

    For example, in a system that requires 300W for a short duration, using a 200W continuously rated power supply that can support a 300W+ peak load will result in significant savings in volume and cost over a supply rated at 300W continuous output power, provided that the average load is below 200W. The supply must be electrically rated to safely support the peak demand, but can be thermally rated at the lower power level.

    Applications that require higher peak currents often include some electromechanical elements such as print heads, pumps, motors, and drives. These products have average power demands far lower than the peak power requirements and are found in factory automation, medical devices, fluid and material handling, robotics, power tools, machining, packaging, test, dispensing systems, and printers.

    Some products specify a peak load capability, which can be characterized in a number of ways:

    A power supply is rated for up to 30 seconds with a duty cycle of 10 to 15% at a peak load that is just below the overcurrent protection (OCP) limit. The OCP is usually set around 20 to 30% above the continuous current rating.

    A very high peak of up to 200% of nominal for a very short duration where the OCP does not react to the overload condition.

    A higher power rating at high-line, normally meaning 180 VAC and above. For example, a 1200W power supply may be able to provide 1500W of continuous power when operated at an ac input voltage greater than 180 VAC. This is a genuine size and cost benefit if the ac input is in the high-line range and is ideal for higher power systems connected from phase to phase when the nominal single phase supply is low.

    A power supply with the topology and thermal characteristics, designed to support high peak electromechanical loads.

    When selecting a power supply for a high peak power application, based on the force cooled and convection cooled ratings, the key parameters are the peak power required, which must not exceed the fan-cooled rating, the maximum duration of the peak, the duty cycle and power consumed by the load during the non-peak duration to ensure that the continuous convection ratings of the supply are not exceeded.

    Reply
  27. Tomi Engdahl says:

    Very Large Lithium-ion Batteries
    http://www.powerelectronics.com/batteries/very-large-lithium-ion-batteries?NL=ED-003&Issue=ED-003_20180601_ED-003_225&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=17651&utm_medium=email&elq2=3ac0948e7cb24bd48a050a1592076c17

    Very large lithium-ion battery banks were largely unknown ten years ago. Now, it is tough to keep up with the variety of uses for them. On ships, where there were no such batteries, we are starting to see 1-5 MWh banks. Autonomous underwater vehicles, mining trucks and buses can sport ones of up to 350 kWh but it is in stationary applications that really big facilities have arrived. Here there is a multiplier effect with Li-ion gaining market share in growth markets.

    Reply
  28. Tomi Engdahl says:

    Five things every Engineer should know about PDN
    http://www.powerelectronics.com/power-electronics-systems/five-things-every-engineer-should-know-about-pdn?code=UM_Classics03118&utm_rid=CPG05000002750211&utm_campaign=17650&utm_medium=email&elq2=e9536e0dc18b4ba1bff7d54dee925c8f

    Steve Sandler describes the Top 5 most important things that every Engineer should know about PDN (Power Distribution Network).

    We generally associate the Power Distribution Network (“PDN”) with the power circuits used to drive CPUs and FPGAs.

    Keep it flat

    Most PDN books tell you that the best performing PDN impedance looks flat over frequency. That is because noise signals are generated as a result of discontinuities or impedance peaks in the PDN. The PDN is comprised of resistance, inductance and capacitance associated with the PCB traces and planes, the decoupling capacitors and their parasitics and the package parasitics including the bond wires and die capacitance of the high speed devices. Minimizing the Q of these resonant circuits is the key to obtaining a flat impedance.

    Impedance matching is key

    Test equipment manufacturers have understood this relationship for many decades. The use of a matched source and a matched load, connected through a matched cable is not an accident.

    Low rates have higher probability of issues

    While it might appear that the higher the signal frequency, the more prominent the PDN issue might be, this is not always the case. The increased signal frequency certainly does carry with it an increase in signal integrity concerns, but not necessarily for the PDN. The reason that the lower frequencies are a bigger issue is simple.

    Multiple issues are additive

    Contrary to what many PDN books tell us, the noise voltage resulting from a dynamic current change is not limited to the product of the current step and the target impedance. It can be much larger.

    Know your edges

    One possible way to manage PDN noise is by selecting the slowest edge devices that suit your application. The maximum spectral frequency is associated with the rise and fall time of the current signal. Fast edges mean that the harmonics extend to higher frequencies, increasing the likelihood that the noise signal will find a resonance close by.

    Reply
  29. Tomi Engdahl says:

    Ensuring Chip Reliability From The Inside
    https://semiengineering.com/ensuring-chip-reliability-from-the-inside/

    In-chip monitoring techniques are growing for automotive, industrial, and data center applications.

    In the datacenter
    Inside of data centers, as CPU temperatures rise, the server power consumption drastically increases due to CPU leakage current. Real-time temperature monitoring systems are necessary here, as well, to allow for power optimization.

    “In-chip embedded temperature sensors also can help extend device lifetimes or provide protections through the enablement of server shutdown schemes, the latter being the result of rising temperatures from sudden increases in dynamic CPU load profiles,” Moortec’s Crosher noted.

    Reply
  30. Tomi Engdahl says:

    Familiarize Yourself with the Latest in Vector Network Analysis
    http://www.mwrf.com/test-measurement/familiarize-yourself-latest-vector-network-analysis?NL=MWRF-001&Issue=MWRF-001_20180531_MWRF-001_972&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=17626&utm_medium=email&elq2=dee9783008f44367a9c64294f32d95ac

    This company’s non-traditional approach continues to impact the market. Here’s a closer look at its 4-port vector network analyzer.

    Reply
  31. Tomi Engdahl says:

    Shower Heads Installed in Packaging Could Keep Chips Cool
    http://www.electronicdesign.com/analog/shower-heads-installed-packaging-could-keep-chips-cool

    With the wires inside chips growing smaller and closer together, miniature shower heads installed in their packaging could help keep them cool, spraying coolant directly onto the surface of the integrated circuit. The rinse could prevent chips from burning themselves up as semiconductor companies push performance boundaries.

    That technology was recently introduced by semiconductor researcher Imec to help meet the growing demands of high performance electronic devices, including three-dimensional chips. These integrated circuits wire together memory, processing and other blocks to get around the limitations of existing chips, which have trouble handling artificial intelligence and other tasks.

    “Our new impingement chip cooler is actually a 3D printed ‘showerhead’ that sprays the cooling liquid directly onto the bare chip,”

    Reply
  32. Tomi Engdahl says:

    EUV in Final Push into Fabs
    Making progress amid ‘a lot of pressure’
    https://www.eetimes.com/document.asp?doc_id=1333326

    A 20-year struggle to launch a next-generation lithography tool has entered its final phase as engineers race to unravel a rat’s nest of related issues. Despite complex problems and short deadlines to bring extreme ultraviolet (EUV) steppers into high-volume manufacturing, experts remain upbeat.

    The good news is that many shoulders are pushing the wheel ahead. “In the past, one company would take a lead with a new semiconductor technology, but now all the logic guys are jumping in, biting the bullet, and taking the risks,” said An Steegen, executive vice president of technology and systems at Imec.

    Reply
  33. Tomi Engdahl says:

    One Big Hot MEMS Vendor & 17 Little Ones
    State of global MEMS industry 2018
    https://www.eetimes.com/document.asp?doc_id=1333343

    Neither Robert Bosch nor STMicroelectronics garnered the top spot in the 2017 MEMS market rankings. The biggest MEMS vendor in the world today is, drum roll please, Broadcom.

    Who’d have thunk it?

    Last year, Broadcom surpassed — for the first time — all the usual suspects in the MEMS industry, thanks to a sales boom of RF MEMS, according to a MEMS report this week by Yole Développement (Lyon, France).

    Yole sees RF MEMS (BAW filters) as one of the strongest engines pushing the overall MEMS market. Yole predicts that excluding RF, MEMS market would grow at 9 percent over 2011-2023, while with RF MEMS, CAGR is 17.5 percent.

    Reply
  34. Tomi Engdahl says:

    Tencent chairman pledges to advance China chip industry after ZTE ‘wake-up’ call: reports
    https://www.reuters.com/article/us-zte-tencent-chairman/tencent-chairman-pledges-to-advance-china-chip-industry-after-zte-wake-up-call-reports-idUSKCN1IS03K

    Tencent Holdings chairman pledged to advance China’s semiconductor industry, saying the blow to ZTE Corp from Washington’s ban on U.S. firms supplying telecommunications company was a “wake-up” call, local media reported.

    Reply
  35. Tomi Engdahl says:

    Chip Industry Maps Heterogeneous Integration
    Nicky Lu talks about ‘ubiquitous intelligence’
    https://www.eetimes.com/document.asp?doc_id=1333242

    In 25 years, after Facebook, Google, and Amazon have marched toward global domination by designing their own chips, what will the semiconductor industry look like? In a way, we’ve seen that future, and it’s here. Big data analysis, artificial intelligence, augmented and virtual reality, and autonomous vehicles have already emerged, although not yet in perfect form.

    Nonetheless, most chip designers can’t even imagine that brave new world, let alone the inventions that they must fashion to stay relevant. A question that haunts designers is the sheer uncertainty of the industry’s direction. As Moore’s Law nears its “economic dead end” for most chip vendors (except giants like Intel and Samsung), is there somewhere else to turn?

    In July 2016, the Semiconductor Industry Association scrapped a technology plan widely known within the industry as the International Technology Roadmap for Semiconductors (ITRS). SIA’s decision to end the ITRS illustrated the industry’s admission that Moore’s Law is not just slowing down. The industry needs new tools, charts, and programs to define research gaps between where it has been and where to go in an even more connected world.

    Reply
  36. Tomi Engdahl says:

    Booming semiconductors pose risk to economy
    https://www.koreatimes.co.kr/www/tech/2018/05/133_249689.html

    Korea’s exports grew 10.1 percent in the first quarter year-on-year buoyed by strong sales of semiconductors.
    But, industry watchers say it is the time for Korea to diversify its portfolio because the country is too dependent on semiconductors.

    The global semiconductor market grew 22 percent to $412.2 billion last year. The price and sales of memory semiconductors increased 37.3 percent and 64.3 percent from a year ago respectively.

    With the increased market size, exports of Korean semiconductors reached a record high last year. Outbound shipments of chips totaled $99.71 billion last year, up 60.2 percent from $62.23 billion of 2016.

    Reply
  37. Tomi Engdahl says:

    Dialog Semiconductor Hobbled by Apple’s Change of Plans
    http://www.electronicdesign.com/power/dialog-semiconductor-hobbled-apple-s-change-plans?NL=ED-003&Issue=ED-003_20180604_ED-003_437&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=17695&utm_medium=email&elq2=261bb6ff67ca422d8d7df1565d88f7e0

    Apple is again throwing its weight around in the semiconductor industry. Dialog Semiconductor, the company’s primary source for power management chips, said in a statement that Apple would introduce a second source for the main PMIC components used in its smartphones. That means Apple will order fewer chips from the company in 2018 than Dialog originally expected.

    Dialog projects orders of power management chips embedded in Apple’s tablets, wearables and personal computers to remain unchanged.

    Reply
  38. Tomi Engdahl says:

    Atomera Plans to Breathe New Life into Older Chip Manufacturing
    Atom-thin layers of oxygen in a chip’s silicon can make devices speedier and more reliable
    https://spectrum.ieee.org/nanoclast/semiconductors/devices/atomera-plans-to-breathe-new-life-into-older-chip-manufacturing

    From the headlines, you’d think all the action in chip making was happening at the industry’s cutting edge, where top manufactures are racing toward the so-called 7-nanometer node. (Guilty as charged.) But there are ways to make better ICs besides forcing them to follow Moore’s Law down to the bitter end.

    One solution is emerging from Silicon Valley-based Atomera. The company has developed a single technique that boosts the speed of transistors, lessens the variability between devices on the same chip, and improves the reliability of those devices by keeping them in a youthful state. Atomera expects its technology, called the Mears Silicon Technology (MST), will give chip designers an opportunity to improve their systems without taking an expensive step toward a smaller transistor technology. Consequently, it might allow older semiconductor fabs to stay in production longer. Atomera is even working with leading chipmakers to show a benefit for the most advanced transistor geometries.

    Reply
  39. Tomi Engdahl says:

    Welcome Verification 3.0
    When considering the future of verification, don’t forget the human factor.
    https://semiengineering.com/welcome-verification-3-0/

    Leave it to Jim Hogan, managing partner of Vista Ventures, to look further out at the changing horizon of verification than the rest of us and to make sense of it in what he calls Verification 3.0. In his executive summary, he outlined the significant advancements in functional verification over the past 20 years, such as hybrid verification platforms in Verification 1.0 and hardware/software co-verification in Verification 2.0. Verification 3.0 will take a more systematic approach with numerous trends that will shape the next decade. Hogan nailed some of the key developments and emerging trends in the semiconductor industry that will further define Verification 3.0, and concluded no one company will be able to tackle the challenge.

    Reply
  40. Tomi Engdahl says:

    FPGAs Becoming More SoC-Like
    https://semiengineering.com/fpgas-becoming-more-soc-like/

    Lines blur as processors are added into traditional FPGAs, and programmability is added into ASICs.

    FPGAs are blinged-out rockstars compared to their former selves. No longer just a collection of look-up tables (LUTs) and registers, FPGAs have moved well beyond into now being architectures for system exploration and vehicles for proving a design architecture for future ASICs.

    Reply
  41. Tomi Engdahl says:

    CEO Outlook On Chip Industry
    https://semiengineering.com/ceo-outlook-on-chip-industry/

    Part 2: Concerns grow about ethical choices and liability in AI-based safety-critical systems, and who will be responsible for making those decisions.

    Reply
  42. Tomi Engdahl says:

    Analog Devices Moves to No. 2 in Industrial ICs
    https://www.eetimes.com/document.asp?doc_id=1333354

    Analog Devices moved into the No. 2 position in the industrial semiconductor market in 2017, based on its $14.8 billion acquisition of rival Linear Devices, according to IHS Markit. Texas Instruments remained the leading supplier of industrial chips.

    Overall, the industrial semiconductor market grew by 11.8 percent last year to reach $49.1 billion, IHS said. Demand for industrial equipment was broad-based, with continued growth in commercial and military aircraft, LED lighting, digital signage, digital video surveillance, and other markets, the firm said.

    Reply
  43. Tomi Engdahl says:

    Embedded AI: A Designer’s Guide
    https://www.eetimes.com/author.asp?section_id=36&doc_id=1333348

    Plenty of resources are becoming available to help engineers explore how to harness the new world of deep learning in their power-constrained designs.

    https://www.electronicproducts.com/Robotics/AI/Engineer_s_guide_to_embedded_AI.aspx

    Reply
  44. Tomi Engdahl says:

    Infineon TRENCHSTOP™ – fully isolated TO-247 package with industry leading IGBTs
    https://www.avnet.com/wps/portal/silica/products/new-products/npi/infineon-trenchstop-advanced-isolation?intcmp=EMEA-AVS-INF-TS-PF-201806

    This new isolated package enables the highest power density, the best performance and the lowest cooling effort thanks to an effective and reliable thermal path from the IGBT die to the heatsink. In addition to providing 100 percent electrical isolation, TRENCHSTOP™ advanced isolation also eliminates the need for thermal grease or thermal interface sheets.

    Key features

    2500 VRMS electrical isolation
    50/60 Hz, t = 1 min
    100 percent tested isolated mounting surface

    Reply
  45. Tomi Engdahl says:

    Graphene Pushes Skyrmions Closer to Data Storage Reality
    https://spectrum.ieee.org/nanoclast/semiconductors/materials/graphene-pushes-skyrmions-closer-to-data-storage-reality

    Discoverer of giant magnetoresistance leads team that creates another giant magnetic effect for potential data storage applications

    Reply
  46. Tomi Engdahl says:

    The Japanese developed the switch for spin current

    Researchers from the Japanese Tohoku University have found a switch to control spin. This mechanism is needed in fully spin-based computing. Although spin detection and production technology has been controlled for some time, spintronika has long lacked the “spin-coupling” component. It corresponds to the transistor used in electronics.

    Spintronics is a rising nano-scale electronics field that does not require specialized semiconductor material, which reduces manufacturing costs. Other benefits include reduced energy demand and power consumption with competitive data transfer and storage capacity.

    Detection of the spin drier has been made possible by the built-in mechanisms of the materials, such as the inverse spin Hall effect (ISHE).

    Now cooperation between Tohoku and the German universities of Mainz has shown that the newly-developed layered structure of materials acts as a switch for spin

    Source: http://www.etn.fi/index.php/13-news/8101-japanilaiset-kehittivat-kytkimen-spinvirralle

    Reply
  47. Tomi Engdahl says:

    China to probe foreign chip makers including Micron, Samsung
    https://www.marketwatch.com/story/china-to-probe-foreign-chip-makers-including-micron-samsung-2018-06-04

    Dominant suppliers of so-called DRAM chips say they are cooperating, but didn’t say what investigation is about

    Reply
  48. Tomi Engdahl says:

    Bain says will help Toshiba Memory pursue big acquisitions
    https://www.reuters.com/article/us-toshiba-chips-bain/bain-says-will-help-toshiba-memory-pursue-big-acquisitions-idUSKCN1J00N8

    Bain Capital, which led the $18 billion acquisition of Toshiba Memory Corp, said on Monday it plans to support the business in pursuing M&A in the chip industry, including potentially large deals.

    Reply

Leave a Comment

Your email address will not be published. Required fields are marked *

*

*