Electronics trends for 2018

Here are some of my collection of newest trends and predictions for year 2018. I have not invented those ideas what will happen next year completely myself. I have gone through many articles that have given predictions for year 2018. Then I have picked and mixed here the best part from those articles (sources listed on the end of posting) with some of my own additions to make this posting.This article contains very many quotations from those source articles (hopefully all acknowledged with link to source).

The general trend in electronics industry is that the industry growth have been driven by mobile industry. Silicon content in smartphones and other mobile devices is increasing as vendors add greater functionality. Layering on top of that are several emerging trends such as IoT, big data, AI and smart vehicles that are creating demand for greater computing power and expanding storage capacity.

 

Manufacturing trends

According to Foundry Challenges in 2018 article the silicon foundry business is expected to see steady growth in 2018. The growth in semiconductor manufacturing will remain steady, but there will be challenges in the manufacturing capacity and  expenses to move to the next nodes. For most applications, unless you must have highest levels of performance, there may not be as compelling a business case to focus on the bleeding-edge nodes. Over the last two years, the IC industry has experienced an acute shortage of 200mm fab capacity (legacy MCU, power, sensors, 6-micron to 65nm). In 2018, 200mm capacity will remain tight. An explosion in 200mm demand has set off a frenzied search for used semiconductor manufacturing equipment that can be used at older process nodes. The problem is there is not enough used equipment available. The profit margins in manufacturing are so thin in markets served by those fabs that it’s hard to justify paying current rising equipment prices, and newcomers may have a tough time making inroads. Foundries with fully depreciated 200mm equipment and capacity already are seeing increased revenues in their 200mm business.The specialty foundry business is undergoing a renaissance, thanks to the emergence of 5G and automotive.

300mm is expected to follow a similar path for lack of capacity because 300mm fabs already produce leading-edge chips and more mainstream 300mm demand is driven by MCUs, wireless communications and storage applications. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm

In 2017, marking the first time that the semiconductor equipment market has exceeded the previous market high of US$47.7 billion set in 2000. Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAM. In 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017. In 2018, 7.5 percent growth is expected to result in sales of US$60.1 billion for the global semiconductor equipment market – another record-breaking year. Demand looks solid across the three main growth drivers for fab tool vendors—DRAM, NAND and foundry/logic.
Rising demand for chips is hitting the IC packaging supply chain, causing shortages of select manufacturing capacity, various package types, leadframes and even some equipment. Spot shortages for some IC packages began showing up in 2017, but the problem has been growing and spreading since then, so  packaging customers may encounter select shortages well into 2018Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018.

Market for advanced packaging begins to diverge based on performance and price. Advanced Packaging is now viewed as the best way to handle large amounts of data at blazing speeds.

Moore’s law

Many recent publications say Moore’s Law is dead. Though Moore’s Law is dead may be experiencing some health challenges, it’s not time to start digging the grave for the semiconductor and electronics market yet

Even smaller nodes are still being taken to use in high end chips. The node names are confusing. Intel’s 10nm technology is roughly equivalent to the foundry 7nm node.In 2018, Intel is expected to finally ramp up 10nm finally in the first half of 2018. In addition, GlobalFoundries, Samsung and TSMC will begin to ship their respective 7nm finFET processes. On the leading edge, GlobalFoundries, Intel, Samsung and TSMC start migrating from the 16nm/14nm to the 10nm/7nm logic nodes. It is expected that some chip-makers face some challenges on the road. Time will tell if GlobalFoundries, Samsung and TSMC will struggle at 7nm. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm. 7nm is projected to generate sales from $2.5 billion to $3.0 billion in 2018. Over time 10nm/7nm is expected to be a big and long-running node. Suppliers of FPGAs and processors are expected to jump on 10nm/7nm.

South Korea’s Samsung Electronics said it has commenced production of the second generation of its 10nm-class 8-Gb DDR4 DRAM. Devices labeled 10nm-class have feature sizes as small as 10 to 19 nanometers. With the continued need for shrinking pattern dimensions, semiconductor manufacturers continue to implement more complex patterning techniques, such as advanced multi-patterning, for the 10nm design node and beyond. They also are investing significant development effort in readying EUV lithography for production at the 7/5nm design nodesSamsung is planning to begin transitioning to EUV for logic chips next year at the 7nm node, although it is unclear when the technology will be put into production for DRAM.

There will be talk on even smaller nodes. FinFETs will get extended to at least to 5nm, and possibly 3nm in next 5 years. The path to 5nm loks pretty clear. FinFETs will get extended at least to 5nm. It’s possible they will get extended to 3nm. EUV will be used at new nodes, followed by High NA Lithography. New smaller nodes challenges the chip design as abstractions become more difficult at 7nm and beyond. Models are becoming more difficult to develop, integrate and utilize effectively at 10/7nm and beyond as design complexity, process variation and physical effects add to the number of variables that need to be taken into account. Materials and basic structures may diverge by supplier, at 7 nm and beyond. Engineering and scientific teams at 3nm and beyond will require completely different mixes of skills than today.

Silicon is still going strong, but the hard fact is that CMOS has been running out of steam for several nodes, and that becomes more obvious at each new node. To extend into new markets and new process nodes Chipmakers Look To New Materials. There are a number of compounds in use already (generally are being confined to specific niche applications), such as gallium arsenide, gallium nitride, and silicon carbide. Silicon will be supplemented by 2D materials to extend Moore’s Law. Transition metal dichalcogenides (TMDCs), a class of 2D materials derived from basic elements—principally tellurium, selenium, sulfur, and oxygen—are being widely explored by researchers. TMDCs are functioning as semiconductors in conjunction with graphene. Graphene, the wonder material rediscovered in 2004, and a host of other two-dimensional materials are gaining ground in manufacturing semiconductors as silicon’s usefulness begins to fade. Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. Future progress increasingly will require a mix of different materials and disciplines, but silicon will remain a key component.

Interconnect Materials need to to be improved. For decades, aluminum interconnects were the industry standard. In the late 1990s, chipmakers switched to copper. Over the years, transistors have decreased dramatically in size, so interconnects also have had to scale in size leading to roadblock known as the RC challenge. Industry is investing significant effort in developing new approaches to extend copper use and finding new metals. There’s also some investigation into improvements on the dielectric side. The era of all-silicon substrates and copper wires may be coming to an end.

Application markets

Wearables are a question mark. Demand for wearables slowed down in 2017 so much that smart speakers likely outsold wearable devices in 2017 holiday season.  eMarketer is estimating that usage of wearable will grow just 11.9 percent in 2018, rising from 44.7 million adult wearable users in 2017 to 50.1 million in 2018. On the other hand market research firm IDC estimates that the shipments of wearable electronics devices are projected to more than double over the next five years as watches displace fitness trackers as the biggest sellers. IDC forecasts that wearables shipments will increase at a compound annual growth rate of 18.4 percent between 2017 and 2021, rising from 113.2 million this year to 222.3 million in 2021. At the same time fitness trackers are expected to become commodity product. Tomorrow’s wearables will become more fully featured and multi-functional.

The automotive market for semiconductors is shifting into high gear in 2018. Right now the average car has about $350 worth of semiconductor content, but that is projected to grow another 50% by 2023 as the overall automotive market for semiconductors grows from $35 billion to $54 billion. The explosion of drive-by-wire technology, combined with government mandates toward fully electric powertrains, has changed this paradigm—and it impacts more than just the automotive industry. Consider implications beyond the increasingly complex vehicle itself, including new demands on supporting infrastructure. The average car today contains up to 100 million lines of code. Self-driving car will have considerably more code in it. Software controls everything from safety critical systems like brakes and power steering, to basic vehicle controls like doors and windows. Meeting ISO 26262 Software Standards is needed but it will not make the code bug free. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC. The shift to autonomous vehicles marks a major shift in the supply chain—and a major opportunity.

Many applications have need for a long service life — for example those deployed within industrial, scientific and military industries. In these applications, the service life may exceed that of component availability. Replacing an advanced, obsolete components in a design can be very costly, potentially requiring an entire redesign of the electronic hardware and software. The use of programmable devices helps designers not only to address component obsolescence, but also to reduce the cost and complexity of the solution. Programmable logic devices are provided in a range of devices of different types, capabilities and sizes, from FPGAs to System on Chips (SoC) and Complex Programmable Logic Devices (CPLD). The obsolete function can be emulated within the device, whether it is a logic function implemented in programmable logic in a CPLD, FPGA or SoC, or a processor system implemented in an FPGA or SoC.

Become familiar with USB type C connector. USB type C connector is becoming quickly more commonplace than any other earlier interface. In the end of 2016 there were 300 million devices using a USBC connection – a big part was smartphones, but the interface was also widespread on laptops. With growth, the USBC becomes soon the most common PC and peripheral interface. Thunderbolt™ 3 on USBC connector promises to fulfill the promise of USB-C for single-cable docking and so much more.

 

Power electronics

The power electronics market continues to grow and gain more presence across a variety of markets2017 was a good year for electric vehicles and the future of this market looks very promising. In 2017, we saw also how wireless charging technology has been adopted by many consumer electronic devices- including Apple smart phones. Today’s power supplies do more than deliver clean and stable dc power on daily basis—they provide advanced capabilities that can save you time and money.

Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. At the moment, the number of applications for those materials is steadily increasing in the automotive and military industry. Expect to see more adoption of SiC and GaN materials in automotive market.

According to Battery Market Goes Bigger and Better in 2018 article advances in battery technologies hold the keys to continuing progress in portable electronics, robotics, military, and telecommunication applications, as well as distributed power grids. It is difficult to see lithium-ion based batteries being replaced anytime soon, so the advances in battery technology are primarily through the application of lithium-ion battery chemistries. New battery protection for portable electronics cuts manufacturing steps and costs for Lithium-ion.

Transparency Market Research analysts predict that the global lithium-ion battery market is poised to rise from $29.67 billion in 2015 to $77.42 billion in 2024 with a compound annual growth rate of 11.6 %. That growth has already spread from the now ubiquitous consumer electronics segment to automotive, grid energy, and industrial applications. Dramatic increase is expected for battery power for the transportation, consumer electronic, and stationary segments. According to Bloomberg New Energy Finance (BNEF), the global energy-storage market will double six times between 2016 and 2030, rising to a total of 125 G/305 gigawatt-hours. In 2018, energy-storage systems will continue proliferating to provide backup power to the electric grid.

Memory

Memory business boomed in 2017 for both NAND and DRAM. The drivers for DRAM are smartphones and servers. Solid-state drives (SSDs) and smartphones are fueling the demand for NAND.  Both the DRAM and NAND content in smartphones continues to grow, so memory business will do well in 2018.Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAMIn 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017.

NAND Market Expected to Cool in Q1 from the crazy year 2017, but it is still growing well because there is increasing demand. The average NAND content in smartphones has been growing by roughly 50% recently, going from approximately 24 gigabytes in 2016 to approximately 38 gigabytes today.3D NAND will do the heavy memory lifting that smartphone users demand. Contract prices for NAND flash memory chips are expected to decline in during the first quarter of 2018 as a traditional lull in demand following the year-end quarter.

Lots of 3D NAND will go to solid state drives in 2018. IDC forecasts strong growth for the solid-state drive (SSD) industry as it transitions to 3D NAND.  SSD industry revenue is expected to reach $33.6 billion in 2021, growing at a CAGR of 14.8%. Sizes of memory chips increase as number of  layer in 3D NAND are added. We’ve already scaled up to 48 layers. Does this just keep scaling up, or are there physical limits here? Maybe we could see a path to 256 layers in few years.

Memory — particular DRAM — was largely considered a commodity business. Though that it’s really not true in 2017. DRAM memory marked had boomed in 2017 at the highest rate of expansion in 23 years, according to IC Insights. Skyrocketing prices drove the DRAM market to generate a record $72 billion in revenue, and it drove total revenue for the IC market up 22%. Though the outlook for the immediate future appears strong, a downturn in DRAM more than likely looms in the not-too-distant future. It will be seen when there are new players on the market. It is a largely unchallenged assertion that Chinese firms will in the not so distant future become a force in semiconductor memory market. Chinese government is committed to pumping more than $160 billion into the industry over a decade, with much of that ticketed for memory startups.

There is search for faster memory because modern computers, especially data-center servers that skew heavily toward in-memory databases, data-intensive analytics, and increasingly toward machine-learning and deep-neural-network training functions, depend on large amounts of high-speed, high capacity memory to keep the wheels turning. The memory speed has not increased as fast as the capacity. The access bandwidth of DRAM-based computer memory has improved by a factor of 20x over the past two decades. Capacity increased 128x during the same period. For year 2018 DRAM remains a near-universal choice when performance is the priority. There has been some attempts to very fast memory interfaces. Intel the company has introduced the market’s first FPGA chip with integrated high-speed EMBED (Embedded Multi-Die Interconnect Bridge): The Stratix 10 MX interfaces to HMB2 memory (High Memory Bandwidth) that offers about 10 times faster speed than standard DDR-type DIMM.

There is search going on for a viable replacement for DRAM. Whether it’s STT-RAM or phase-change memory or resistive RAM, none of them can match the speed or endurance of DRAM. Necessity is the mother of invention, and we see at least two more generations after 1x. XPoint is also coming up as another viable memory solution that could be inserted into the current memory architecture. It will be interesting to see how that plays out versus DRAM.

5G and IoT

5G something in it for everyone. 5G is big.  5G New Radio (NR) wireless technology will ultimately impact everyone in the electronics and telecommunications industries. Most estimates say 2020 is when we will ultimately see some real 5G deployments on a scale. In the meantime, companies are firming up their plans for whatever 5G products and services they will offer. Though test and measurement solutions will be key in the commercialization cycle. 5G is set to disrupt test processes. If 5G takes off, the technology will propel the development of new chips in both the infrastructure and the handset. Data centers require specialty semiconductors from power management to high-speed optical fiber front-ends. 5G systems will drive more complexity in RF front-ends .5G will offer increased capacity and decreased latency for some critical applications such as vehicle-to-vehicle (V2V) or vehicle-to-infrastructure (V2I) communications for advanced driver assistance systems (ADAS) and self-driving vehicles. The big question is whether 5G will disrupt the landscape or fall short of its promises.

Electronics manufacturers expect a lot from Internet of Thing. The evolution of intelligent electronic sensors is creating a revolution for IoT and Industrial IoT as companies bring new sensor-based, intelligent systems to market. The business promise is that the proliferation of smart and connected “things” in the Industrial Internet of Things (IIoT) provides tremendous opportunities for increased performance and lower costs. Industrial Internet of Things (IIoT) has a market forecast approaching $100 billion by 2020. Turning volumes of factory data into actionable information that has value is essential. Predictive maintenance and asset tracking are two big IoT markets to watch in 2018 because they will provide real efficiencies and improved safety. It will be about instrumenting our existing infrastructures with sensors that improve their reliability and help predict failures. It will be about tracking important assets through their lifecycles.

A new breed of designers has arrived that is leveraging inexpensive sensors to build the intelligent systems at the edge of the Internet of Things (IoT). They work in small teams, collaborate online, and they expect affordable design tools that are easy to use in order to quickly produce results. Their goal is to deliver a functioning device or a proof-of-concept to their stakeholders while spending as little money as possible to get there. We need to become multi-functional engineers who can comfortably work in the digital, RF, and system domains.

The Io edge sensor  device usually needs to be cheap. Simple mathematical reasoning suggests that the average production cost per node must be small, otherwise the economics of the IoT simply are not viable. Most suppliers to the electronics industry are today working under the assumption that the bill-of-materials (BoM) cost of a node cannot exceed $5 on average. While the sensor market continues to garner billions of dollars, the average selling price of a MEMS sensor, for example, is only 60 cents.

Designing a well working and secure IoT system is still hard. IoT platforms are very complex distributed systems and managing these distributed systems is often an overlooked challenge. When designing for the IoT, security needs to be addressed from the Cloud down to each and every edge device. Protecting data is both a hardware and a software requirement, as more data is being stored and analyzed in edge devices and gateways.

The continued evolution of powerful embedded processors is enabling more functionality to be consolidated into single heterogeneous multicore devices. You will see more mixed criticality designs – those designs which contain both safety-critical and non-safety critical processes running on the same chip. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC.

AI

There is clearly a lot of hype surrounding machine learning (ML) and artificial intelligence (AI) fields. Over the past few years, machine learning (ML) has evolved from an interesting new approach that allows computers to beat champions at chess and Go, into one that is touted as a panacea for almost everything. Machine learning already has delivered beneficial results in certain niches, but it has potential for a bigger and longer lasting impact because of the demand for broad insights and efficiencies across industries. Also EDA companies have been investing in this technology and some results are expected to be announced.

The Battle of AI Processors Begins in 2018. Machine learning applications have a voracious appetite for compute cycles, consuming as much compute power as they can possibly scrounge up. As a result, they are invariably run on parallel hardware – often parallel heterogeneous hardware—which creates development challenges of its own. 2018 will be the start of what could be a longstanding battle between chipmakers to determine who creates the hardware that artificial intelligence lives on. Main contenders on the field at the moment are CPUs, GPUs, TPUs (tensor processing units), and FPGAs. Analysts at both Research and Markets and TechNavio have predicted the global AI chip market to grow at a compound annual growth rate of about 54% between 2017 and 2021.

 

Sources:

Battery Market Goes Bigger and Better in 2018

Foundry Challenges in 2018

Smart speakers to outsell wearables during U.S. holidays, as demand for wearables slows

Wearables Shipments Expected to Double by 2021

The Week In Review: Manufacturing #186

Making 5G Happen

Five technology trends for 2018

NI Trend Watch 2018 explores trends driving the future faster

Creating Software Separation for Mixed Criticality Systems

Isolating Safety and Security Features on the Xilinx UltraScale+ MPSoC

Meeting ISO 26262 Software Standards

DRAM Growth Projected to be Highest Since ’94

NAND Market Expected to Cool in Q1

Memory Market Forecast 2018 … with Jim Handy

Pushing DRAM’s Limits

3D NAND Storage Fuels New Age of Smartphone Apps

$55.9 Billion Semiconductor Equipment Forecast – New Record with Korea at Top

Advanced Packaging Is Suddenly Very Cool

Fan-Outs vs. TSVs

Shortages Hit Packaging Biz

Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018

Rapid SoC Proof-of-Concept for Zero Cost

EDA Challenges Machine Learning

What Can You Expect from the New Generation of Power Supplies?

Optimizing Machine Learning Applications for Parallel Hardware

FPGA-dataa 10 kertaa nopeammin

The 200mm Equipment Scramble

Chipmakers Look To New Materials

The Trouble With Models

What the Experts Think: Delivering the next 5 years of semiconductor technology

Programmable Logic Holds the Key to Addressing Device Obsolescence

The Battle of AI Processors Begins in 2018

For China’s Memory Firms, Legal Tests May Loom

Predictions for the New Year in Analog & Power Electronics

Lithium-ion Overcomes Limitations

Will Fab Tool Boom Cycle Last?

The Next 5 Years Of Chip Technology

Chipmakers Look To New Materials

Silicon’s Long Game

Process Window Discovery And Control

Toward Self-Driving Cars

Sensors are Fundamental to New Intelligent Systems

Industrial IoT (IIoT) – Where is Silicon Valley

Internet of things (IoT) design considerations for embedded connected devices

How efficient memory solutions can help designers of IoT nodes meet tight BoM cost targets

What You Need to Become a Multi-Functional Engineer

IoT Markets to Watch in 2018

USBC yleistyy nopeasti

1,325 Comments

  1. Tomi Engdahl says:

    Global Semiconductor Sales in May Increase 21 Percent Year-to-Year
    Global industry posts highest-ever monthly sales; Americas market grows by 32 percent compared to last year
    https://www.semiconductors.org/news/2018/07/02/global_sales_report_2017/global_semiconductor_sales_in_may_increase_21_percent_year_to_year/

    Reply
  2. Tomi Engdahl says:

    Solid-state battery: are we entering in a new era?
    http://www.yole.fr/Solid_State_Batteries.aspx#.WzYFu6dKiM9

    OUTLINES:

    A feasible way towards safer, better-performing batteries?
    Why is solid-state battery development accelerating?
    Who makes what in solid-state battery technology development?

    Regarding solid-state battery technology development, there are many technology bricks involved, including electrolyte material screening, ionic conductivity enhancement, electrolyte/electrode interface stability, lithium metal anode, separator coating, cell and pack manufacturing methods, BMS , and battery pack design.

    Reply
  3. Tomi Engdahl says:

    WCCA: Lack of rigor will cost you
    https://www.edn.com/electronics-blogs/the-worst-case/4460852/WCCA–Lack-of-rigor-will-cost-you?utm_source=Aspencore&utm_medium=EDN&utm_campaign=social

    worst-case circuit analysis (WCCA)

    WCCA has become a significant part of the automotive landscape. ISO 26262, the exponential increase in electronics and car manufacturer’s corporate guidelines have forced the issue.

    Clearly the automotive and space industries have different constraints, but both can lead to unwanted failures caused by insufficient analysis. What both fail to see is that analysis is their saving grace with respect to saving money.

    With targeted and prioritized analysis, you can go where test can’t. That lets you find problems and increase margins by centering the design, and eliminate costly board spins.

    whatever you choose to analyze must be done rigorously, with the proper analysis fidelity. Otherwise, you risk wasting precious money and schedule resulting from bogus results

    Reply
  4. Tomi Engdahl says:

    Micron says China ban unfair but won’t hurt revenue
    https://www.reuters.com/article/us-usa-trade-china-micron/micron-says-china-ban-unfair-but-wont-hurt-revenue-idUSKBN1JV1IV

    Micron Technology Inc on Thursday played down the likely impact on its business of a temporary Chinese ban on some chip sales but said it would appeal a decision that has added to U.S.-China trade tensions.

    Reply
  5. Tomi Engdahl says:

    DAC 2018: New Opportunities for EDA and the Entire Semiconductor Supply Chain
    https://www.3dincites.com/2018/07/dac-2018-new-opportunities-for-eda-and-the-entire-semiconductor-supply-chain/

    This year’s Design Automation Conference (DAC 2018) was held at Moscone West, San Francisco, CA. On three levels, about 175 exhibitors showed their capabilities and discussed market needs. Our industry’s focus on artificial intelligence (AI) and machine learning (ML) solutions were clearly obvious, and I suspect, attracted more young people and a fairly large number of new exhibitors to DAC than in previous years. Great news!

    Reply
  6. Tomi Engdahl says:

    IC designers lower growth goals for 3Q18 on unclear order visibility
    https://www.digitimes.com/news/a20180706PD211.html

    Taiwan chipmakers have quietly revised downward their revenue growth projections for the third quarter of 2018, as their order visibility for the quarter remains unclear amid the escalating China-US trade tensions that may undermine the shipment performance of their terminal customers in the quarter, according to industry sources.

    Despite unclear shipment prospects, terminal customers have still asked IC designers to maintain a certain inventory growth to support possible shipment upturn in the third quarter, generating increasing inventory risks for chipmakers.

    Reply
  7. Tomi Engdahl says:

    Paul Alcorn / Tom’s Hardware:
    How AMD stays within its x86 IP cross-licensing agreements with Intel yet enables Chinese firm Hygon to design and sell AMD Zen-based x86 server chips in China — Chinese-designed “Dhyana” x86 processors based on AMD’s Zen microarchitecture are beginning to surface from Chinese chip producer Hygon.

    China Finds Zen: Begins Production Of x86 Processors Based On AMD’s IP
    https://www.tomshardware.com/news/china-zen-x86-processor-dryhana,37417.html

    Chinese-designed “Dhyana” x86 processors based on AMD’s Zen microarchitecture are beginning to surface from Chinese chip producer Hygon. The processors come as the fruit of AMD’s x86 IP licensing agreements with its China-based partners and break the decades-long stranglehold on x86 held by the triumvirate of Intel, AMD and VIA Technologies. Details are also emerging that outline how AMD has managed to stay within the boundaries of the x86 licensing agreements but still allow Chinese-controlled interests to design and sell processors based on the Zen design.

    AMD’s official statements indicate the company does not sell its final chip designs to its China-based partners. Instead, AMD allows them to design their own processors tailored for the Chinese server market. But the China-produced Hygon “Dhyana” processors are so similar to AMD’s EPYC processors that Linux kernel developers have listed vendor IDs and family series numbers as the only difference. In fact, Linux maintainers have simply ported over the EPYC support codes to the Dhyana processor and note that they have successfully run the same patches on AMD’s EPYC processors, implying there is little to no differentiation between the chips.

    The new chips are surfacing against the backdrop of the trade war between the US and China that could escalate quickly, likely reinforcing China’s long-held opinion that a lack of native processor production could be a strategic liability.

    That makes it even more surprising that AMD has managed to establish a franchise that allows Chinese processor vendors to develop and sell x86 processors in spite of US regulations and the licensing restrictions with Intel

    HMC owns the x86 IP and ends up producing the chips, which satisfies the AMD and Intel x86 cross-licensing agreements because the IP remains with a company owned primarily by AMD.

    HMC licenses the IP to Hygon, which designs the x86 chips and then sells the design back to HMC.

    HMC then employs a foundry to fab the end product (likely China Foundries or TSMC). Confusingly, HMC then transfers the chips back to Hygon (the same company that designed them), which then sells the Dhyana processors.

    And thus, AMD’s licensing of the x86 IP stays within the legal boundaries. According to the agreement, the final products can only be sold within China’s borders. That opens up a huge opportunity for AMD via royalties due to the exploding China data center market

    Reply
  8. Tomi Engdahl says:

    Micron Plans to Appeal China Ruling
    https://www.eetimes.com/document.asp?doc_id=1333460

    Micron Technology plans to appeal a preliminary injunction handed down last week by a Chinese court preventing its Chinese subsidiaries from manufacturing, selling or importing some DRAM modules and solid state drives (SSDs).

    Micron said it expects the injunction to reduce its sales for the current quarter by about 1 percent. The company said it still expects sales to between $8 billion and $8.4 billion, the guidance range the company has given previously.

    According to DRAMeXchange, a research firm that tracks memory pricing, the ruling will significantly impact Micron’s sales in China and also impact the businesses of Micron’s downstream partners. DRAMeXchange estimates that China is expected to consume about 26 percent of Micron’s DRAM bit output in 2018 and about 20 percent of Micron’s NAND bit output.

    Reply
  9. Tomi Engdahl says:

    Chips Boom as Trade War Looms
    DRAM prices drive the upswing
    https://www.eetimes.com/document.asp?doc_id=1333459

    The semiconductor industry is poised for as much as 15% growth this year and a shot at its first $500-billion year in 2019, driven largely by rising memory prices. The big dark spot on the horizon is a growing trade war between the U.S. and China.

    That was the outlook from a handful of analysts at a kickoff for the annual Semicon West event here.

    Reply
  10. Tomi Engdahl says:

    EDA Takes to the Cloud (Sort of)
    https://www.eetimes.com/author.asp?section_id=36&doc_id=1333458

    The trend of EDA suppliers offering tools through the cloud was readily apparent at this year’s Design Automation Conference (DAC), with the likes of Cadence, Mentor and others unveiling cloud-based offerings.

    Reply
  11. Tomi Engdahl says:

    NASA Embraces Commercial Electronics
    https://www.eetimes.com/author.asp?section_id=36&doc_id=1333436

    Several experiments aboard the International Space Station are testing whether the space agency can move beyond traditional rad-hard components.

    If all goes according to plan, a SpaceX Dragon cargo ship returning from the International Space Station this fall will deliver back to Earth and waiting engineers a pair of servers that will have flown aboard the orbiting laboratory and testbed for nearly a year. The idea is to simulate a nearly year-long trip to Mars and determine whether off-the-shelf hardware can hack it in deep space.

    Reply
  12. Tomi Engdahl says:

    U.S. Chip Strategy Under Discussion
    Panel gives peek at Trump-era ideas
    https://www.eetimes.com/document.asp?doc_id=1333455

    Reply
  13. Tomi Engdahl says:

    Trade War Seen As ‘Zero-Sum Game’ for Chip Industry
    https://www.eetimes.com/document.asp?doc_id=1333451

    Reply
  14. Tomi Engdahl says:

    Mitigating EMI for a CISPR 22-Compliant Power Solution
    https://www.eeweb.com/app-notes/mitigating-emi-for-a-cispr-22-compliant-power-solution

    System engineers dread the prospect of not passing electromagnetic interference (EMI) compliance testing at the end of a product development cycle. If this happens, it can cause a major setback to the product shipping schedule and could also trigger a costly total power re-design. This application note examines how to achieve first-pass EMI success using a well-planned power solution design that utilizes a proper filter, low EMI components, low EMI power regulator ICs, and/or low EMI power modules, along with good PCB layout and shielding techniques.

    Reply
  15. Tomi Engdahl says:

    Trade War Seen As ‘Zero-Sum Game’ for Chip Industry
    https://www.eetimes.com/document.asp?doc_id=1333451

    A trade war between the world’s two largest economy that formally begins Friday will be “a bruising zero-sum game injurious to both sides in which there are no winners,” according to an analyst for IHS Markit.

    “For the semiconductor space, the escalating tariffs dispute between the United States and China will be a bruising zero-sum game injurious to both sides in which there are no winners,” said Myson Robles-Bruce, a semiconductor value chain researcher with IHS, in a blog posting earlier this week.

    US-China tariff dispute will spill into semiconductors and consumer electronics
    https://technology.ihs.com/604369/us-china-tariff-dispute-will-spill-into-semiconductors-and-consumer-electronics

    Reply
  16. Tomi Engdahl says:

    Origami and Lasers Work Together to Make Inexpensive Paper Circuits
    https://blog.hackster.io/origami-and-lasers-work-together-to-make-inexpensive-paper-circuits-9fa962cfa488

    There has been a lot of development put into paper-based circuits in recent years, especially as the demand for disposable, environmentally-friendly electronics has risen. You may have seen, or even used, conductive inks that can be drawn onto paper.

    The process that they created can be used to inscribe circuits onto any ordinary sheet of paper in a way that’s both economical and efficient. The element molybdenum is mixed in a gelatin solution, where it bonds with carbon. The solution is then applied to the sheet of paper in a thin coat. After it has dried, a laser is used to heat the paper to 1,000 degrees centigrade in order to create conductive and durable molybdenum carbide traces.

    Once the traces have been laser-printed onto the paper, electronic components can be attached as needed.

    https://engineering.berkeley.edu/2018/06/berkeley-engineers-develop-origami-electronics-using-cheap-foldable-paper

    Reply
  17. Tomi Engdahl says:

    Broadcom acquires CA Technologies for $18.9B in cash
    https://techcrunch.com/2018/07/11/broadcom-acquires-ca-technologies-for-18-9b-in-cash/?sr_share=facebook&utm_source=tcfbpage

    Broadcom, the massive semiconductor supplier you may remember from its failed attempt to acquire Qualcomm, today announced that it has reached a definitive agreement with CA Technologies, a major IT management software and solutions provider.

    Reply
  18. Tomi Engdahl says:

    DARPA Plans a Major Remake of U.S. Electronics
    https://spectrum.ieee.org/tech-talk/computing/hardware/darpas-planning-a-major-remake-of-us-electronics-pay-attention

    The U.S. Defense Advanced Research Projects Agency is launching a huge expansion of its Electronics Resurgence Initiative, boosting the program to US $1.5 billion over five years. And while some of the research efforts will be just what you’ve come to expect from the agency that brought you disposable drones, self-driving cars, and cameras that can see around corners, a lot of this new money is going toward ideas that could fundamentally change how chips are designed.

    Reply
  19. Tomi Engdahl says:

    DARPA dedicates $75 million (to start) into reinventing chip tech
    https://techcrunch.com/2018/07/24/darpa-details-75-million-initiative-to-reinvent-chip-tech/?utm_source=tcfbpage&sr_share=facebook

    The Defense Department’s research arm, DARPA, is throwing an event around its “Electronics Resurgence Initiative,” an effort to leapfrog existing chip tech by funding powerful but unproven new ideas percolating in the industry. It plans to spend up to $1.5 billion on this over the years, of which about $75 million was earmarked today for a handful of new partners.

    The ERI was announced last year in relatively broad terms, and since then it has solicited proposals from universities and research labs all over the country, arriving at a handful that it has elected to fund.

    Reply
  20. Tomi Engdahl says:

    Researchers design self-powered robots the size of human cells
    https://techcrunch.com/2018/07/23/researchers-design-self-powered-robots-the-size-of-human-cells/?utm_source=tcfbpage&sr_share=facebook

    The latest robots out of MIT are small enough to float “indefinitely” in the air. Researchers accomplished the feat by attaching 2D electronics to colloids — tiny particles measuring around one-billionth to one-millionth of a meter. All told, the devices are roughly the size of a human egg cell.

    What’s more, the addition of photodiode semiconductors means the tiny individual systems are able to be self-powered, without the need for a battery.

    http://news.mit.edu/2018/cell-sized-robots-sense-their-environment-0723

    Reply
  21. Tomi Engdahl says:

    DARPA Picks Its First Set of Winners in Electronics Resurgence Initiative
    https://spectrum.ieee.org/tech-talk/semiconductors/design/darpa-picks-its-first-set-of-winners-in-electronics-resurgence-initiative

    Hundreds of engineers gathered at the DARPA Electronics Resurgence Initiative Summit in San Francisco yesterday to hear that dozens of them were getting millions (one group was awarded more than US $60 million) to tackle some big questions. If researchers can answer them in the affirmative, they will keep electronics going long after Moore’s Law is a thing of the past. And in the process they’ll likely change the nature of the industry as well as the jobs of engineers.

    Reply
  22. Tomi Engdahl says:

    Reuters:
    Qualcomm says it will drop its $44B NXP offer as the deadline passed with no approval from China and pay a $2B breakup fee to NXP

    Qualcomm’s $44 billion NXP offer deadline passes, no word from China
    https://www.reuters.com/article/us-nxp-semicondtrs-m-a-qualcomm/qualcomms-44-billion-nxp-offer-deadline-passes-no-word-from-china-idUSKBN1KF193

    The deadline for Qualcomm Inc (QCOM.O) to buy NXP Semiconductors (NXPI.O) passed at midnight U.S. eastern time without any word on Chinese regulatory approval, likely shutting the door on a deal embroiled in a bitter U.S.-China spat.

    Qualcomm had said earlier in the day that it would drop its $44 billion bid for NXP – the world’s biggest semiconductor takeover – unless it received a last minute reprieve. If the deal is terminated, Qualcomm will pay a $2 billion deal breakup fee to NXP

    Investors expressed relief at Qualcomm’s comments earlier in the day and the company’s shares rose nearly 7 percent in after market trading.

    will buy back $30 billion in shares

    Qualcomm still faces challenges

    Reply
  23. Tomi Engdahl says:

    Intel Drives New Bus for Future Chiplets
    https://spectrum.ieee.org/tech-talk/semiconductors/processors/intel-drives-new-bus-for-future-chiplets

    At DARPA’s Electronics Resurgence Initiative Summit, speaker after speaker agreed that the chiplets are coming. Instead of building complex systems-on-chip as one piece of silicon, as is done today, future systems will be made of smaller, cheaper, independently designed component chips bound together on a larger slice of silicon by high-bandwidth interconnects. One of the greatest challenges researchers face is getting these chiplets to communicate properly and do so at a speed and energy cost that’s close to what they’d be if the system were all one piece of silicon.

    Intel CTO Mike Mayberry unveiled his company’s contribution toward that effort on 24 July; Mayberry says that Intel will provide its Advanced Interface Bus (AIB) royalty-free to help link chiplets together. AIB is a standard communications interface made for connecting different dies (unpackaged chips) in the same package.

    Intel already uses AIB in so-called 2.5-D packages

    The company’s Stratix 10 FPGA is one example.

    “First, we need a plug-and-play standard—a sort of Ethernet for chiplets,” he said. “Once we have that standard, you can imagine vendors offering a number of chiplets for sale.” Intel is pushing AIB to become that standard.

    https://www.intel.com/content/www/us/en/architecture-and-technology/programmable/heterogeneous-integration/overview.html

    Reply
  24. Tomi Engdahl says:

    Ten years left to redesign lithium-ion batteries
    https://www.nature.com/articles/d41586-018-05752-3?utm_source=fbk_nnc&utm_medium=social&utm_campaign=naturenews&sf194414810=1

    Reserves of cobalt and nickel used in electric-vehicle cells will not meet future demand. Refocus research to find new electrodes based on common elements such as iron and silicon, urge Kostiantyn Turcheniuk and colleagues.

    Reply
  25. Tomi Engdahl says:

    DARPA has an ambitious $1.5 billion plan to reinvent electronics
    https://www.technologyreview.com/s/611725/darpa-has-an-ambitious-15-billion-plan-to-reinvent-electronics/

    The US military agency is worried the country could lose its edge in semiconductor chips with the end of Moore’s Law.

    Reply
  26. Tomi Engdahl says:

    TSMC is a critical partner for the launch of the new iPhone

    Virus shuts down factories of major iPhone component manufacturer TSMC
    https://techcrunch.com/2018/08/04/virus-shuts-down-factories-of-major-iphone-component-manufacturer-tsmc/?utm_source=tcfbpage&sr_share=facebook

    AdChoices

    Virus shuts down factories of major iPhone component manufacturer TSMC
    Danny Crichton
    @dannycrichton / Yesterday

    TAIWAN-CHIP-TSMC-COMPANY-EARNINGS
    Apple touts the cybersecurity of its iPhone, but less can be said for the exclusive manufacturer who makes the processor for the iPhone.

    Semiconductor foundry TSMC, or Taiwan Semiconductor Manufacturing Company, was hit by a virus late Friday night, which forced it to shut down several factories according to Debbie Wu at Bloomberg. The virus and the shutdown were confirmed by TSMC representatives.

    It is not clear at this time which factories were hit, or whether those factories were producing the iPhone’s main processor. Apple is expected to unveil new iPhones this fall

    Reply
  27. Tomi Engdahl says:

    Asian chipmakers’ production plans in focus as super-cycle winds down
    https://www.reuters.com/article/us-tech-chips/asian-chipmakers-production-plans-in-focus-as-super-cycle-winds-down-idUSKBN1KE31Z

    Asian memory chipmakers’ production plans and outlook will be closely watched as they start reporting earnings this week, following sharp falls in their stock prices due to concerns that a 2-year industry super-cycle may be skidding to a halt.

    Reply
  28. Tomi Engdahl says:

    Scaling Sideways
    Why the next steps in device scaling will break the old rules.
    https://semiengineering.com/scaling-sideways/

    The next steps in semiconductor technology don’t follow the same vectors. While 3nm chips are likely to roll out at some point in the future, it’s not clear what the business case will be for developing them.

    What’s clear is the number of companies developing chips at that node will shrink to a handful (or less), because they’re going to be far too expensive to design, verify and manufacture. A single respin could bankrupt a smaller company, which makes it increasingly unlikely that anyone will try to put everything into a 3nm SoC. Integration, power management, and even electron tunneling through increasingly thin dielectrics will require a much tighter set of design rules, including more regular shapes to maintain signal integrity and yield. But for that much of an investment, chipmakers will demand more design freedom rather than less, pointing the needle in a different direction for how chips are designed and manufactured

    Reply
  29. Tomi Engdahl says:

    Changing The Design Flow
    The rationale for fusing together various pieces of a digital design.
    https://semiengineering.com/changing-the-design-flow/

    Synopsys’ Michael Jackson talks with Semiconductor Engineering about why it’s becoming necessary to fuse together various pieces of digital design.

    Reply
  30. Tomi Engdahl says:

    Manufacturing Bits: July 10
    https://semiengineering.com/manufacturing-bits-july-10/

    Ruthenium interconnects
    Imec has developed a process to enable ruthenium (Ru) interconnects in chips at 5nm and beyond.

    Ru is one of several candidates to replace traditional copper as the interconnect material in chips. The interconnects, which reside on the top of the transistor, consist of tiny copper wiring schemes that transfer electrical signals from one transistor to another. The interconnects are becoming more compact at each node, causing an unwanted resistance-capacitance (RC) delay in chips.

    Photonic contacts
    In a separate paper at IITC, Leti and STMicroelectronic have developed CMOS-compatible contacts for silicon photonics.

    In silicon photonics, the contacts used to pump the III-V lasers are often composed of an Au/Pt/Ti (gold/platinum/titanium) stack. “As a consequence, these contacts are not compatible with a Si-based environment both in terms of integration and of metal used (Pt, Au),” according to the paper.

    Instead of the traditional stack, Leti and STMicroelectronics developed CMOS-compatible contacts on n-InP (indium phosphide) and p-InGaAs (indium gallium arsenide) for silicon photonics.

    Using the technology, the first lasers fabricated with this new platform are operating at 1310nm with a threshold current around 60mA, a Side Mode Suppression Ratio (SMSR) larger than 45dB and more than 1.5mW optical power in the output silicon waveguide.

    Reply
  31. Tomi Engdahl says:

    Verification As A Flow
    https://semiengineering.com/verification-as-a-flow-2/
    Experts at the Table, part 2: How did Portable Stimulus get its name, and will it replace UVM?

    Reply
  32. Tomi Engdahl says:

    Nanoparticles Enable Molecular Electronic Devices
    Nanoparticle contacts open the way to better sensors
    https://spectrum.ieee.org/nanoclast/semiconductors/nanotechnology/nanoparticles-enable-molecular-electronic-devices

    Last week, researchers at IBM Research-Zurich in Rüschlikon, Switzerland, and the Universities of Basel and Zurich announced in a letter published in Nature a new method for creating electrical contacts to individual molecules on a silicon chip. The advance could open up a promising new way to develop sensors and possibly other electronic or photonic applications of manipulating single molecules.

    When, in the mid-1970s, researchers discovered single molecules with interesting electronic properties such as that of a diode, hopes were high that this would spur the development of a new semiconductor technology that might compete with silicon-based electronics. However, establishing electrical contacts to such molecules remained essentially an activity confined to the laboratory.

    Reply
  33. Tomi Engdahl says:

    West Scores Big With New Era of AI, Data Galore, Prosperity
    http://blog.semi.org/semi-news/best-west-yet-scores-big-with-new-era-of-ai-data-galore-and-prosperity

    The sheer numbers impressed as a record lineup of SEMICON West keynote speakers offered a glowing portrait of the future: The semiconductor industry stands on the cusp of a breakout expansion.

    Reply
  34. Tomi Engdahl says:

    5nm Design Progress
    https://semiengineering.com/5nm-challenges-come-into-focus/

    Improvements in power, performance and area are much more difficult to achieve, but solutions are coming into focus.

    Activity surrounding the 5nm manufacturing process node is quickly ramping, creating a better picture of the myriad and increasingly complex design issues that must be overcome.

    Progress at each new node after 28nm has required an increasingly tight partnership between the foundries, which are developing new processes and rule decks, along with EDA and IP vendors, which are adding tools, methodologies, and pre-developed blocks to make all of this work.

    But 5nm adds some new twists, including the insertion of EUV lithography for more critical layers

    Reply
  35. Tomi Engdahl says:

    Five DAC Keynotes
    https://semiengineering.com/five-dac-keynotes/

    Thought-provoking talks about the future of technology, how to improve it, and what it means for design engineers.

    A New Golden Age for Computer Architecture

    Patterson’s talk then turned to RISC-V and the importance of it being open source. “Security and open architectures go together. You do not want security through obscurity.” He also talked about the rise of Agile design and how universities are using it to enable them to create chips. “We can build small chips and it is only $14,000 for 100 chips. To build a big chip it is more money, but you can go a long way with small chips. At Berkeley we did 10 chips in 5 years using Agile. With Agile there is no excuse not to make chips anymore. Everyone can afford it.”

    The Future of Computing

    Gil’s talk focused on the current state of affairs in AI and where he believes it needs to go. He looked at different architectures, including analog computing and quantum computing as applied to AI. “There is a lot of hype associated with AI, but it has arguably become the most important trend in the technology world today. One indication is to look at what is happening with students. Look at enrollment at MIT and Stanford for courses in machine learning. A class that a decade ago may have had 30 or 40 students, now has over a thousand students enrolled at Stanford and over 700 at MIT.”

    Challenges to Enable 5G System Scaling

    Chidambaram’s started out addressing some of the changes Qualcomm is seeing. “The market is moving toward long-term products. It used to be that people would replace their phone every two years, but the upcoming markets are more in automobiles and industrial IoT that will be with people for 10 years. We have to start thinking about design for durability.”

    Much of the talk concentrated on the challenges within a cellphone, such as supporting increasing numbers of RF bands, increased levels of computation required to support graphics, or better cameras, while at the same time wanting extended battery life.

    Living Products

    Sarah Cooper, general manager for IoT Analytics and Applications within Amazon Web Services.

    Cooper had a very simple message. “The principle of IoT is to be able to get data about the physical world and using that to build more efficiency into their manufacturing platforms or to build consumer devices that delight customers.” She talked about the accelerating rate at which technology is being adopted, and about how technical obsolescence is being built into products. “We have to be able to make products adapt and change even after they have been installed. In situ, these devices have to improve.”

    Automation vs. Augmentation: Socially assistive robotics and the future of Work

    “If we are looking at a future, possibly a near future, in which machines of all kinds, and in particular robots, are doing physical work, then what are people doing? It is impossible to be in any kind of automation and to not think about the implications. It is irresponsible to just do something because you can, or it is cool. You should think about the kind of future is bringing about.”

    Reply
  36. Tomi Engdahl says:

    Power-Aware Static Checks: Static Checker Results And Debugging Techniques
    https://semiengineering.com/power-aware-static-checks-static-checker-results-and-debugging-techniques/

    Understand what PA-Static reports are telling you for efficient debugging.

    In Part 1 of this three article series on power aware (PA) verification, we examined the foundations and verification features of PA static checks. In Part 2, we discussed the features of the static verification library and described best static verification practices.

    Reply
  37. Tomi Engdahl says:

    Process Variation Not A Solved Issue
    https://semiengineering.com/process-variation-not-a-solved-issue/

    Experts at the Table: Biggest issues with process variation today, and its impacts on the design process.

    Reply
  38. Tomi Engdahl says:

    Chip Aging Becomes Design Problem
    https://semiengineering.com/chip-aging-becomes-design-problem/

    Assessing the reliability of a device requires adding more physical factors into the analysis, many of which are interconnected in complex ways.

    Reply
  39. Tomi Engdahl says:

    Power Reduction In A Constrained World
    https://semiengineering.com/power-reduction-in-a-constrained-world/

    Why it’s critical to make power a key vector for design convergence.

    Reply
  40. Tomi Engdahl says:

    Qualcomm Wins Taiwan Reprieve Amid Global Antitrust Battle
    https://www.bloomberg.com/news/articles/2018-08-10/qualcomm-reaches-settlement-with-taiwan-to-slash-antitrust-fines

    Qualcomm Inc., the smartphone chipmaker fighting regulatory actions and lawsuits threatening its most profitable business, has reached a settlement with Taiwan’s antitrust regulators that reverses most of a $773 million fine.

    As part of an agreement announced Friday by the Fair Trade Commission, the company will invest $700 million over the next five years and boost research activities in Taiwan, home to a clutch of important suppliers to global names such as Apple Inc. In return, Qualcomm can stop paying fines and retains the right to charge manufacturers royalties on its technology. The commission said Friday it will keep NT$2.73 billion ($89 million) in fines that Qualcomm’s already paid but waive the rest.

    Reply
  41. Tomi Engdahl says:

    Safety, Security And PPA Tradeoffs
    https://semiengineering.com/safety-security-and-ppa-tradeoffs/

    The number of critical design metrics is expanding, but the industry still grapples with their implications.

    Reply
  42. Tomi Engdahl says:

    Second Quarter 2018 Silicon Wafer Shipments Increase Quarter-Over-Quarter – All-Time Quarterly High
    http://www.semi.org/en/second-quarter-2018-silicon-wafer-shipments-increase-quarter-over-quarter-all-time-quarterly-high

    MILPITAS, Calif. — July 30, 2018 — Reaching their highest recorded quarterly level ever on robust demand, worldwide silicon wafer area shipments rose 2.5 percent in the second quarter of 2018 to 3,160 million square inches from 3,084 million square inches the previous quarter, according to the SEMI Silicon Manufacturers Group (SMG) in its quarterly analysis of the silicon wafer industry. New quarterly total area shipments are 6.1 percent higher than second quarter 2017 shipments.

    SEMI logo”The second calendar quarter of the year typically enjoys a volume increase over the first quarter,”

    Reply
  43. Tomi Engdahl says:

    Chip Addresses IC Power-Measurement Challenge
    https://www.electronicdesign.com/power/chip-addresses-ic-power-measurement-challenge?NL=ED-003&Issue=ED-003_20180803_ED-003_318&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=19055&utm_medium=email&elq2=5be84e92c9414e5abf6eb999cf86eb66

    This energy-monitoring IC family eases the task of measuring both power and energy use of other ICs or circuits, integrating results for longer-term energy-consumption measurement.

    Reply
  44. Tomi Engdahl says:

    MCU Metamorphosis—Adding Analog Circuits On-Chip
    https://www.electronicdesign.com/analog/mcu-metamorphosis-adding-analog-circuits-chip?code=NN6TI114&utm_rid=CPG05000002750211&utm_campaign=18695&utm_medium=email&elq2=896728db9cf84714bd6442d8e80eafdf

    Sponsored by Texas Instruments: Putting selectable linear interface circuits on the same chip with an MCU greatly simplifies many sensing and measuring designs.

    Reply
  45. Tomi Engdahl says:

    As Moore’s Law Dies, the Chip Giants Seek Fresh Prey
    Software is the new game in town for the semiconductor industry.
    https://www.bloomberg.com/view/articles/2018-08-01/as-moore-s-law-dies-the-chip-giants-seek-fresh-prey

    After a five-year, $240 billion acquisition spree, there’s a suspicion that the nature of deal-making in the semiconductor industry may be starting to change.

    The chipmakers are increasingly interested in snapping up their software cousins as they try to differentiate themselves from rivals. On Sunday, Bloomberg News reported that Arm, the British chip designer owned by SoftBank Group Corp., had reached a $600 million deal to acquire Treasure Data, a startup that specializes in making sense of big data.

    This seems a long way from Arm’s core business of designing underlying semiconductor technology, which customers can then license and adapt to their own needs. But as research and development spending increases, Arm and other chip companies are trying to squeeze more profit out of every chip that’s made with their intellectual property. Offering additional software and services is a fast way to do that.

    Reply
  46. Tomi Engdahl says:

    Chief Executive of Texas Instruments Forced Out Over Misconduct
    https://www.electronicdesign.com/analog/chief-executive-texas-instruments-forced-out-over-misconduct?NL=ED-003&Issue=ED-003_20180718_ED-003_771&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=18701&utm_medium=email&elq2=359b0446371a44beb9754a99fd20f605

    Texas Instruments, the world’s largest supplier of analog chips, ousted its chief executive for conduct running afoul of the company’s standards. The company announced on Tuesday that it would replace Brian Crutcher, who only came into the role in June, with the chief executive he replaced, Texas Instruments chairman Rich Templeton.

    Reply

Leave a Comment

Your email address will not be published. Required fields are marked *

*

*