Electronics trends for 2018

Here are some of my collection of newest trends and predictions for year 2018. I have not invented those ideas what will happen next year completely myself. I have gone through many articles that have given predictions for year 2018. Then I have picked and mixed here the best part from those articles (sources listed on the end of posting) with some of my own additions to make this posting.This article contains very many quotations from those source articles (hopefully all acknowledged with link to source).

The general trend in electronics industry is that the industry growth have been driven by mobile industry. Silicon content in smartphones and other mobile devices is increasing as vendors add greater functionality. Layering on top of that are several emerging trends such as IoT, big data, AI and smart vehicles that are creating demand for greater computing power and expanding storage capacity.

 

Manufacturing trends

According to Foundry Challenges in 2018 article the silicon foundry business is expected to see steady growth in 2018. The growth in semiconductor manufacturing will remain steady, but there will be challenges in the manufacturing capacity and  expenses to move to the next nodes. For most applications, unless you must have highest levels of performance, there may not be as compelling a business case to focus on the bleeding-edge nodes. Over the last two years, the IC industry has experienced an acute shortage of 200mm fab capacity (legacy MCU, power, sensors, 6-micron to 65nm). In 2018, 200mm capacity will remain tight. An explosion in 200mm demand has set off a frenzied search for used semiconductor manufacturing equipment that can be used at older process nodes. The problem is there is not enough used equipment available. The profit margins in manufacturing are so thin in markets served by those fabs that it’s hard to justify paying current rising equipment prices, and newcomers may have a tough time making inroads. Foundries with fully depreciated 200mm equipment and capacity already are seeing increased revenues in their 200mm business.The specialty foundry business is undergoing a renaissance, thanks to the emergence of 5G and automotive.

300mm is expected to follow a similar path for lack of capacity because 300mm fabs already produce leading-edge chips and more mainstream 300mm demand is driven by MCUs, wireless communications and storage applications. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm

In 2017, marking the first time that the semiconductor equipment market has exceeded the previous market high of US$47.7 billion set in 2000. Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAM. In 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017. In 2018, 7.5 percent growth is expected to result in sales of US$60.1 billion for the global semiconductor equipment market – another record-breaking year. Demand looks solid across the three main growth drivers for fab tool vendors—DRAM, NAND and foundry/logic.
Rising demand for chips is hitting the IC packaging supply chain, causing shortages of select manufacturing capacity, various package types, leadframes and even some equipment. Spot shortages for some IC packages began showing up in 2017, but the problem has been growing and spreading since then, so  packaging customers may encounter select shortages well into 2018Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018.

Market for advanced packaging begins to diverge based on performance and price. Advanced Packaging is now viewed as the best way to handle large amounts of data at blazing speeds.

Moore’s law

Many recent publications say Moore’s Law is dead. Though Moore’s Law is dead may be experiencing some health challenges, it’s not time to start digging the grave for the semiconductor and electronics market yet

Even smaller nodes are still being taken to use in high end chips. The node names are confusing. Intel’s 10nm technology is roughly equivalent to the foundry 7nm node.In 2018, Intel is expected to finally ramp up 10nm finally in the first half of 2018. In addition, GlobalFoundries, Samsung and TSMC will begin to ship their respective 7nm finFET processes. On the leading edge, GlobalFoundries, Intel, Samsung and TSMC start migrating from the 16nm/14nm to the 10nm/7nm logic nodes. It is expected that some chip-makers face some challenges on the road. Time will tell if GlobalFoundries, Samsung and TSMC will struggle at 7nm. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm. 7nm is projected to generate sales from $2.5 billion to $3.0 billion in 2018. Over time 10nm/7nm is expected to be a big and long-running node. Suppliers of FPGAs and processors are expected to jump on 10nm/7nm.

South Korea’s Samsung Electronics said it has commenced production of the second generation of its 10nm-class 8-Gb DDR4 DRAM. Devices labeled 10nm-class have feature sizes as small as 10 to 19 nanometers. With the continued need for shrinking pattern dimensions, semiconductor manufacturers continue to implement more complex patterning techniques, such as advanced multi-patterning, for the 10nm design node and beyond. They also are investing significant development effort in readying EUV lithography for production at the 7/5nm design nodesSamsung is planning to begin transitioning to EUV for logic chips next year at the 7nm node, although it is unclear when the technology will be put into production for DRAM.

There will be talk on even smaller nodes. FinFETs will get extended to at least to 5nm, and possibly 3nm in next 5 years. The path to 5nm loks pretty clear. FinFETs will get extended at least to 5nm. It’s possible they will get extended to 3nm. EUV will be used at new nodes, followed by High NA Lithography. New smaller nodes challenges the chip design as abstractions become more difficult at 7nm and beyond. Models are becoming more difficult to develop, integrate and utilize effectively at 10/7nm and beyond as design complexity, process variation and physical effects add to the number of variables that need to be taken into account. Materials and basic structures may diverge by supplier, at 7 nm and beyond. Engineering and scientific teams at 3nm and beyond will require completely different mixes of skills than today.

Silicon is still going strong, but the hard fact is that CMOS has been running out of steam for several nodes, and that becomes more obvious at each new node. To extend into new markets and new process nodes Chipmakers Look To New Materials. There are a number of compounds in use already (generally are being confined to specific niche applications), such as gallium arsenide, gallium nitride, and silicon carbide. Silicon will be supplemented by 2D materials to extend Moore’s Law. Transition metal dichalcogenides (TMDCs), a class of 2D materials derived from basic elements—principally tellurium, selenium, sulfur, and oxygen—are being widely explored by researchers. TMDCs are functioning as semiconductors in conjunction with graphene. Graphene, the wonder material rediscovered in 2004, and a host of other two-dimensional materials are gaining ground in manufacturing semiconductors as silicon’s usefulness begins to fade. Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. Future progress increasingly will require a mix of different materials and disciplines, but silicon will remain a key component.

Interconnect Materials need to to be improved. For decades, aluminum interconnects were the industry standard. In the late 1990s, chipmakers switched to copper. Over the years, transistors have decreased dramatically in size, so interconnects also have had to scale in size leading to roadblock known as the RC challenge. Industry is investing significant effort in developing new approaches to extend copper use and finding new metals. There’s also some investigation into improvements on the dielectric side. The era of all-silicon substrates and copper wires may be coming to an end.

Application markets

Wearables are a question mark. Demand for wearables slowed down in 2017 so much that smart speakers likely outsold wearable devices in 2017 holiday season.  eMarketer is estimating that usage of wearable will grow just 11.9 percent in 2018, rising from 44.7 million adult wearable users in 2017 to 50.1 million in 2018. On the other hand market research firm IDC estimates that the shipments of wearable electronics devices are projected to more than double over the next five years as watches displace fitness trackers as the biggest sellers. IDC forecasts that wearables shipments will increase at a compound annual growth rate of 18.4 percent between 2017 and 2021, rising from 113.2 million this year to 222.3 million in 2021. At the same time fitness trackers are expected to become commodity product. Tomorrow’s wearables will become more fully featured and multi-functional.

The automotive market for semiconductors is shifting into high gear in 2018. Right now the average car has about $350 worth of semiconductor content, but that is projected to grow another 50% by 2023 as the overall automotive market for semiconductors grows from $35 billion to $54 billion. The explosion of drive-by-wire technology, combined with government mandates toward fully electric powertrains, has changed this paradigm—and it impacts more than just the automotive industry. Consider implications beyond the increasingly complex vehicle itself, including new demands on supporting infrastructure. The average car today contains up to 100 million lines of code. Self-driving car will have considerably more code in it. Software controls everything from safety critical systems like brakes and power steering, to basic vehicle controls like doors and windows. Meeting ISO 26262 Software Standards is needed but it will not make the code bug free. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC. The shift to autonomous vehicles marks a major shift in the supply chain—and a major opportunity.

Many applications have need for a long service life — for example those deployed within industrial, scientific and military industries. In these applications, the service life may exceed that of component availability. Replacing an advanced, obsolete components in a design can be very costly, potentially requiring an entire redesign of the electronic hardware and software. The use of programmable devices helps designers not only to address component obsolescence, but also to reduce the cost and complexity of the solution. Programmable logic devices are provided in a range of devices of different types, capabilities and sizes, from FPGAs to System on Chips (SoC) and Complex Programmable Logic Devices (CPLD). The obsolete function can be emulated within the device, whether it is a logic function implemented in programmable logic in a CPLD, FPGA or SoC, or a processor system implemented in an FPGA or SoC.

Become familiar with USB type C connector. USB type C connector is becoming quickly more commonplace than any other earlier interface. In the end of 2016 there were 300 million devices using a USBC connection – a big part was smartphones, but the interface was also widespread on laptops. With growth, the USBC becomes soon the most common PC and peripheral interface. Thunderbolt™ 3 on USBC connector promises to fulfill the promise of USB-C for single-cable docking and so much more.

 

Power electronics

The power electronics market continues to grow and gain more presence across a variety of markets2017 was a good year for electric vehicles and the future of this market looks very promising. In 2017, we saw also how wireless charging technology has been adopted by many consumer electronic devices- including Apple smart phones. Today’s power supplies do more than deliver clean and stable dc power on daily basis—they provide advanced capabilities that can save you time and money.

Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. At the moment, the number of applications for those materials is steadily increasing in the automotive and military industry. Expect to see more adoption of SiC and GaN materials in automotive market.

According to Battery Market Goes Bigger and Better in 2018 article advances in battery technologies hold the keys to continuing progress in portable electronics, robotics, military, and telecommunication applications, as well as distributed power grids. It is difficult to see lithium-ion based batteries being replaced anytime soon, so the advances in battery technology are primarily through the application of lithium-ion battery chemistries. New battery protection for portable electronics cuts manufacturing steps and costs for Lithium-ion.

Transparency Market Research analysts predict that the global lithium-ion battery market is poised to rise from $29.67 billion in 2015 to $77.42 billion in 2024 with a compound annual growth rate of 11.6 %. That growth has already spread from the now ubiquitous consumer electronics segment to automotive, grid energy, and industrial applications. Dramatic increase is expected for battery power for the transportation, consumer electronic, and stationary segments. According to Bloomberg New Energy Finance (BNEF), the global energy-storage market will double six times between 2016 and 2030, rising to a total of 125 G/305 gigawatt-hours. In 2018, energy-storage systems will continue proliferating to provide backup power to the electric grid.

Memory

Memory business boomed in 2017 for both NAND and DRAM. The drivers for DRAM are smartphones and servers. Solid-state drives (SSDs) and smartphones are fueling the demand for NAND.  Both the DRAM and NAND content in smartphones continues to grow, so memory business will do well in 2018.Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAMIn 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017.

NAND Market Expected to Cool in Q1 from the crazy year 2017, but it is still growing well because there is increasing demand. The average NAND content in smartphones has been growing by roughly 50% recently, going from approximately 24 gigabytes in 2016 to approximately 38 gigabytes today.3D NAND will do the heavy memory lifting that smartphone users demand. Contract prices for NAND flash memory chips are expected to decline in during the first quarter of 2018 as a traditional lull in demand following the year-end quarter.

Lots of 3D NAND will go to solid state drives in 2018. IDC forecasts strong growth for the solid-state drive (SSD) industry as it transitions to 3D NAND.  SSD industry revenue is expected to reach $33.6 billion in 2021, growing at a CAGR of 14.8%. Sizes of memory chips increase as number of  layer in 3D NAND are added. We’ve already scaled up to 48 layers. Does this just keep scaling up, or are there physical limits here? Maybe we could see a path to 256 layers in few years.

Memory — particular DRAM — was largely considered a commodity business. Though that it’s really not true in 2017. DRAM memory marked had boomed in 2017 at the highest rate of expansion in 23 years, according to IC Insights. Skyrocketing prices drove the DRAM market to generate a record $72 billion in revenue, and it drove total revenue for the IC market up 22%. Though the outlook for the immediate future appears strong, a downturn in DRAM more than likely looms in the not-too-distant future. It will be seen when there are new players on the market. It is a largely unchallenged assertion that Chinese firms will in the not so distant future become a force in semiconductor memory market. Chinese government is committed to pumping more than $160 billion into the industry over a decade, with much of that ticketed for memory startups.

There is search for faster memory because modern computers, especially data-center servers that skew heavily toward in-memory databases, data-intensive analytics, and increasingly toward machine-learning and deep-neural-network training functions, depend on large amounts of high-speed, high capacity memory to keep the wheels turning. The memory speed has not increased as fast as the capacity. The access bandwidth of DRAM-based computer memory has improved by a factor of 20x over the past two decades. Capacity increased 128x during the same period. For year 2018 DRAM remains a near-universal choice when performance is the priority. There has been some attempts to very fast memory interfaces. Intel the company has introduced the market’s first FPGA chip with integrated high-speed EMBED (Embedded Multi-Die Interconnect Bridge): The Stratix 10 MX interfaces to HMB2 memory (High Memory Bandwidth) that offers about 10 times faster speed than standard DDR-type DIMM.

There is search going on for a viable replacement for DRAM. Whether it’s STT-RAM or phase-change memory or resistive RAM, none of them can match the speed or endurance of DRAM. Necessity is the mother of invention, and we see at least two more generations after 1x. XPoint is also coming up as another viable memory solution that could be inserted into the current memory architecture. It will be interesting to see how that plays out versus DRAM.

5G and IoT

5G something in it for everyone. 5G is big.  5G New Radio (NR) wireless technology will ultimately impact everyone in the electronics and telecommunications industries. Most estimates say 2020 is when we will ultimately see some real 5G deployments on a scale. In the meantime, companies are firming up their plans for whatever 5G products and services they will offer. Though test and measurement solutions will be key in the commercialization cycle. 5G is set to disrupt test processes. If 5G takes off, the technology will propel the development of new chips in both the infrastructure and the handset. Data centers require specialty semiconductors from power management to high-speed optical fiber front-ends. 5G systems will drive more complexity in RF front-ends .5G will offer increased capacity and decreased latency for some critical applications such as vehicle-to-vehicle (V2V) or vehicle-to-infrastructure (V2I) communications for advanced driver assistance systems (ADAS) and self-driving vehicles. The big question is whether 5G will disrupt the landscape or fall short of its promises.

Electronics manufacturers expect a lot from Internet of Thing. The evolution of intelligent electronic sensors is creating a revolution for IoT and Industrial IoT as companies bring new sensor-based, intelligent systems to market. The business promise is that the proliferation of smart and connected “things” in the Industrial Internet of Things (IIoT) provides tremendous opportunities for increased performance and lower costs. Industrial Internet of Things (IIoT) has a market forecast approaching $100 billion by 2020. Turning volumes of factory data into actionable information that has value is essential. Predictive maintenance and asset tracking are two big IoT markets to watch in 2018 because they will provide real efficiencies and improved safety. It will be about instrumenting our existing infrastructures with sensors that improve their reliability and help predict failures. It will be about tracking important assets through their lifecycles.

A new breed of designers has arrived that is leveraging inexpensive sensors to build the intelligent systems at the edge of the Internet of Things (IoT). They work in small teams, collaborate online, and they expect affordable design tools that are easy to use in order to quickly produce results. Their goal is to deliver a functioning device or a proof-of-concept to their stakeholders while spending as little money as possible to get there. We need to become multi-functional engineers who can comfortably work in the digital, RF, and system domains.

The Io edge sensor  device usually needs to be cheap. Simple mathematical reasoning suggests that the average production cost per node must be small, otherwise the economics of the IoT simply are not viable. Most suppliers to the electronics industry are today working under the assumption that the bill-of-materials (BoM) cost of a node cannot exceed $5 on average. While the sensor market continues to garner billions of dollars, the average selling price of a MEMS sensor, for example, is only 60 cents.

Designing a well working and secure IoT system is still hard. IoT platforms are very complex distributed systems and managing these distributed systems is often an overlooked challenge. When designing for the IoT, security needs to be addressed from the Cloud down to each and every edge device. Protecting data is both a hardware and a software requirement, as more data is being stored and analyzed in edge devices and gateways.

The continued evolution of powerful embedded processors is enabling more functionality to be consolidated into single heterogeneous multicore devices. You will see more mixed criticality designs – those designs which contain both safety-critical and non-safety critical processes running on the same chip. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC.

AI

There is clearly a lot of hype surrounding machine learning (ML) and artificial intelligence (AI) fields. Over the past few years, machine learning (ML) has evolved from an interesting new approach that allows computers to beat champions at chess and Go, into one that is touted as a panacea for almost everything. Machine learning already has delivered beneficial results in certain niches, but it has potential for a bigger and longer lasting impact because of the demand for broad insights and efficiencies across industries. Also EDA companies have been investing in this technology and some results are expected to be announced.

The Battle of AI Processors Begins in 2018. Machine learning applications have a voracious appetite for compute cycles, consuming as much compute power as they can possibly scrounge up. As a result, they are invariably run on parallel hardware – often parallel heterogeneous hardware—which creates development challenges of its own. 2018 will be the start of what could be a longstanding battle between chipmakers to determine who creates the hardware that artificial intelligence lives on. Main contenders on the field at the moment are CPUs, GPUs, TPUs (tensor processing units), and FPGAs. Analysts at both Research and Markets and TechNavio have predicted the global AI chip market to grow at a compound annual growth rate of about 54% between 2017 and 2021.

 

Sources:

Battery Market Goes Bigger and Better in 2018

Foundry Challenges in 2018

Smart speakers to outsell wearables during U.S. holidays, as demand for wearables slows

Wearables Shipments Expected to Double by 2021

The Week In Review: Manufacturing #186

Making 5G Happen

Five technology trends for 2018

NI Trend Watch 2018 explores trends driving the future faster

Creating Software Separation for Mixed Criticality Systems

Isolating Safety and Security Features on the Xilinx UltraScale+ MPSoC

Meeting ISO 26262 Software Standards

DRAM Growth Projected to be Highest Since ’94

NAND Market Expected to Cool in Q1

Memory Market Forecast 2018 … with Jim Handy

Pushing DRAM’s Limits

3D NAND Storage Fuels New Age of Smartphone Apps

$55.9 Billion Semiconductor Equipment Forecast – New Record with Korea at Top

Advanced Packaging Is Suddenly Very Cool

Fan-Outs vs. TSVs

Shortages Hit Packaging Biz

Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018

Rapid SoC Proof-of-Concept for Zero Cost

EDA Challenges Machine Learning

What Can You Expect from the New Generation of Power Supplies?

Optimizing Machine Learning Applications for Parallel Hardware

FPGA-dataa 10 kertaa nopeammin

The 200mm Equipment Scramble

Chipmakers Look To New Materials

The Trouble With Models

What the Experts Think: Delivering the next 5 years of semiconductor technology

Programmable Logic Holds the Key to Addressing Device Obsolescence

The Battle of AI Processors Begins in 2018

For China’s Memory Firms, Legal Tests May Loom

Predictions for the New Year in Analog & Power Electronics

Lithium-ion Overcomes Limitations

Will Fab Tool Boom Cycle Last?

The Next 5 Years Of Chip Technology

Chipmakers Look To New Materials

Silicon’s Long Game

Process Window Discovery And Control

Toward Self-Driving Cars

Sensors are Fundamental to New Intelligent Systems

Industrial IoT (IIoT) – Where is Silicon Valley

Internet of things (IoT) design considerations for embedded connected devices

How efficient memory solutions can help designers of IoT nodes meet tight BoM cost targets

What You Need to Become a Multi-Functional Engineer

IoT Markets to Watch in 2018

USBC yleistyy nopeasti

1,325 Comments

  1. Tomi Engdahl says:

    ATE Lab To Fab
    https://semiengineering.com/ate-lab-to-fab/

    How to close the gap between the design and test worlds to improve coverage and shorten time to market.

    Reply
  2. Tomi Engdahl says:

    Using DSA With EUV
    https://semiengineering.com/using-dsa-with-euv/

    Why directed self-assembly still has an important role to play at the most advanced nodes.

    Reply
  3. Tomi Engdahl says:

    System-Level Testing – The New Paradigm for Semiconductor Quality Control
    https://semiengineering.com/system-level-testing-the-new-paradigm-for-semiconductor-quality-control/

    How to close gaps in production level test and improve coverage to avoid failures.

    Reply
  4. Tomi Engdahl says:

    Improve cost, efficiency and savings with custom-spooled component reels
    https://www.eetimes.com/document.asp?doc_id=1333876

    Reply
  5. Tomi Engdahl says:

    U.S. Charges UMC, Fujian Jinhua with Technology Theft
    https://www.eetimes.com/document.asp?doc_id=1333927

    The U.S. government unsealed a criminal indictment against Taiwanese foundry UMC and Chinese DRAM maker Fujian Jinhua Integrated Circuit Co., charging them with conspiracy to steal intellectual property from Micron Technology.

    The move signals the opening of a significant new front in the ongoing battle between the U.S. and China over trade and China’s industrial practices, particularly in regard to the semiconductor industry.

    Reply
  6. Tomi Engdahl says:

    Knowledge Transfer, or IP Theft?
    https://www.eetimes.com/author.asp?section_id=36&doc_id=1333938

    What can be characterized in good times as helping emerging economies develop knowledge or technology can look quite different when things get messy.

    That’s a bit of a tongue-in-cheek headline. I’m not attempting to even suggest that they are two sides of the same coin or that IP theft is acceptable.

    However, with the U.S. government charging Taiwanese foundry UMC and Chinese DRAM maker Fujian Jinhua Integrated Circuit Co. with conspiracy to steal intellectual property from Micron Technology, it made me think about two things: the software and engineering outsourcing industry, and about government-to-government relations.

    Reply
  7. Tomi Engdahl says:

    Maailman ensimmäinen 7 nanometrin x86-prosessori – eikä se tule Inteliltä
    http://www.etn.fi/index.php/13-news/8677-maailman-ensimmainen-7-nanometrin-x86-prosessori-eika-se-tule-intelilta

    AMD

    Sun esittelemässä demossa yksi 7 nanometrin Epyc-prosessori hakkasi – tosin niukasti – kaksi Intelin Skylake Xeon -prosessoria kuvankäsittelytestissä. Lisäksi uusi 7 nanometrin Vega-grafiikkaprosessori vastasi suorituskyvyltään Nvidian V100-prosessoria tekoälylaskennassa.

    Reply
  8. Tomi Engdahl says:

    Fusion Compiler: Comprehensive RTL-to-GDSII Implementation System
    https://semiengineering.com/fusion-compiler-comprehensive-rtl-to-gdsii-implementation-system/

    How the Fusion Compiler handles advanced process nodes and delivers up to 20% better performance, power and area.

    The semiconductor industry is going through a renaissance period with waves of technological advancements and innovation. There has been a significant uptick in demand for silicon in recent years, driven by market sectors including automotive, artificial intelligence, cloud computing, and internet of things (IoT) that have their own unique mix of design and implementation requirements. The mobile communication sector is preparing for the introduction and ramp up of the 5G wireless standard—with a peak data rate of 20 gigabits per second (Gb/s), it is a 10X increase over the current 4G standard. Along with the increased performance, mobile integrated circuits (ICs) must also be low power to achieve the full day battery demands of consumers.

    Reply
  9. Tomi Engdahl says:

    IC Design Leads China’s IC Ecosystem Development
    https://blog.semi.org/business-markets/ic-design-leads-chinas-ic-ecosystem-development

    IC design has emerged as the largest semiconductor sector in China, with 2017 revenues of $31.9 billion generated by about 1,380 companies. At the same time, China’s fabless segment has risen to third in global rankings with about one-tenth of worldwide sales.

    Reply
  10. Tomi Engdahl says:

    Reinforced Precision Isolation Amp Has Less Stress, Longer Life
    https://www.electronicdesign.com/power/reinforced-precision-isolation-amp-has-less-stress-longer-life?NL=ED-003&Issue=ED-003_20181108_ED-003_270&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=21305&utm_medium=email&elq2=4407be30ddb04b679189a20dfcaea512

    TI’s ISO224 uses a capacitive approach to implement galvanic isolation. It combines high precision on the input signal side with ease of interfacing for the output side.

    Reply
  11. Tomi Engdahl says:

    Taming NBTI To Improve Device Reliability
    https://semiengineering.com/taming-nbti-to-improve-device-reliability/

    Negative-bias temperature instability can cause an array of problems at advanced nodes and reduced voltages.

    NBTI is an aging mechanism in field-effect transistors that leads to a change of the characteristic curves of a transistor during operation. The result can be a drift toward unintended behavior by functional transistor circuits.

    More specifically, NBTI can increase gate delay times in digital applications, which in turn can result in timing violations that corrupt calculation results. In analog circuits, even small changes of the FET characteristics can have a negative effect on circuit precision.

    Reply
  12. Tomi Engdahl says:

    Design For Advanced Packaging
    https://semiengineering.com/design-for-advanced-packaging/

    Stacking die is garnering more attention, but design flows aren’t fully ready to support it.

    Reply
  13. Tomi Engdahl says:

    Interaction Of Hard IP And Chip-Package
    https://semiengineering.com/interaction-of-hard-ip-and-chip-package/

    Conflicting goals make arranging copper pillars or micro bumps around hard IP a challenge, and there’s no easy answer yet.

    Reply
  14. Tomi Engdahl says:

    Current and future customer-specific circuit development requires an increasing number of different interfaces, such as for memory (DDR3, DDR4, LPDDR3, LPDDR4, etc.), radio interfaces (Bluetooth, NBIoT, etc.) or high-speed LVDS/SERDES interfaces (DisplayPort, Ethernet, USB, etc.). For customer-specific circuit projects, these components are frequently purchased as hard IP because the development would require too much effort in terms of manpower and therefore be too expensive for individual projects.

    Source: https://semiengineering.com/interaction-of-hard-ip-and-chip-package/

    Reply
  15. Tomi Engdahl says:

    The Process Design Kit: Protecting Design Know-How
    https://semiengineering.com/the-process-design-kit-protecting-design-know-how/

    The key innovations that made pure-play foundries and the fabless revolution possible.

    Reply
  16. Tomi Engdahl says:

    Computing Way Outside Of A Box
    https://semiengineering.com/computing-way-outside-of-a-box/

    Arm’s CTO talks about how AI and the end of Moore’s Law are shaking up processor design.

    Reply
  17. Tomi Engdahl says:

    EMP/HEMP filter technologies designed to mitigate EMI threats
    https://www.edn.com/design/analog/4461207/EMP-HEMP-filter-technologies-designed-to-mitigate-naturally-occurring-and-intentional-EMI-threats?utm_source=newsletter&utm_campaign=link&utm_medium=EDNAnalog-20181108

    Electromagnetic threats have been known, and to a degree understood, for almost a century. Nevertheless, it is only in the past couple of decades that governments and military organizations have come to realize the extent of the threat that intentional/unintentional electromagnetic interference (IEMI/UEMI) pose to critical facilities, infrastructure, aerospace, and land mobile electronic systems. Most nations’ electrical infrastructure and utilities have been identified as vulnerable to sabotage and intentional disruption using IEMI, the threat to these systems has been a known entity for many years. What is an emerging unknown, and possibly equally as disruptive, is the new threat to digital and communications network infrastructure (data centers and internet systems) that the world’s banking, transportation, and resource allocation now relies on.

    The threat of IEMI, and even UEMI and natural EMI, on every level of a modern society only grows, as people become more dependent on electrical systems to enhance efficiency, reduce expenditures, speed processes, and raise profits. Additionally, the most recent electronics are built with low power ICs and other sensitive active and passive devices whose economics depend on miniaturization, power reduction, and feature integration. Unfortunately, few non-governmental/military organizations have come to recognize this threat, and fewer have taken action to ensure their essential systems, for which many other organizations and individuals depend, are robust toward IEMI, or EMI in general.

    There are several standards, mostly governmental, military, and recently IEC, which provide specifications on how to design building, electrical infrastructure, and equipment to withstand known IEMI threats, mainly nuclear electromagnetic pulse (EMP), and specifically, high altitude nuclear EMP. The main military and governmental standards for facilities and equipment for the US and the UK are MIL-STD-188-125-1/MIL-STD-188-125-2 and DEF-STAN-59-188-1/DEF-STAN-59-188-2, respectively.

    IEC protection severity levels (IEC 61000-4-24) account for a variety of equipment and applications, extending from equivalence to MIL-STD-188-125-1 and DEF-STAN-59-188-1, to less critical civil systems. Severity level 3, which is equivalent to military and defense standards, is meant to apply to the most critical infrastructure systems, where level 2 is designed for less critical infrastructure, and level 1 is for industrial applications that are either less critical, or possess a high level of intrinsic immunity. The IEC 61000-4-24 standard provides recommendations for the residual pulse performance of HEMP/IEMI filters in respect to conducted pulses, and does not define, or account, for radiated pulse shielding requirements.

    A reasonable target figure for HEMP/IEMI filter attenuation to meet over the frequency range 1 MHz to 1 GHz for severity levels 1/2 and 3 for new building is 60 dB and 80 dB. For older building and severity level 1/2, 40 dB of attenuation may suffice. IEC 61000-4-24 also provides peak residual current level recommendations for each severity level. For a 250 VAC HEMP power line filter with a 2 Ω nominal load for level 1, 2, and 3 severity levels, the peak allowable residual current into the load is 353 A, 50 A, and 10 A, respectively.

    Increasing individual and societal dependence on the civil electronic and communications infrastructure is likely to also increase the threat of loss of life and property damage from the failure of new commercial and industrial electronic systems. Particularly susceptible are the latest ICs and low power electronics exposed by inadequate shielding, and the emerging technologies that rely on them. Many of these systems could benefit from additional protection, specifically HEMP/IEMI filters, which may also aid in enhancing EMC emissions and immunity.

    Reply
  18. Tomi Engdahl says:

    Four Conferences for the First Time at electronica
    https://electronica.de/press/newsroom/press-releases/four-conferences-for-the-first-time-at-electronica.html

    The conferences in the context of electronica are getting new additions this year. In addition to the Automotive Conference, Embedded Platforms Conference and Wireless Congress, the Medical Electronics Conference, , all dealing with developments and trends in electronics, will take place for the first time.

    Reply
  19. Tomi Engdahl says:

    Embedded Industry Is Getting Prepared Into the Future with COM-HD
    https://www.elektroniknet.de/international/into-the-future-with-com-hd-159604.html

    So far, PCI Express has been able to stay one step ahead of growing I/O needs with its latest revisions. Alternatively, multiple PCI Express lanes can be used to meet the demand. COM-HD is prepared for both variants.

    Reply
  20. Tomi Engdahl says:

    COM Express is heading towards “server-on-module”
    http://picmg.mil-embedded.com/articles/com-express-heading-towards-server-on-module/

    With the newly revised (Version 3.0) iteration of the most successful computer-on-module (COM) standard, a new pinout type is added to extend the reach of COM Express to server type applications.

    Reply
  21. Tomi Engdahl says:

    Feedback generator avoids optocoupler use in SMPS design
    https://www.edn.com/design/power-management/4461107/Feedback-generator-avoids-optocoupler-use-in-SMPS-design?utm_source=newsletter&utm_campaign=link&utm_medium=EDNFunFriday-20181109

    Optocouplers are frequently used in isolated switch-mode power supplies (SMPS) for galvanic separation between the primary and secondary sides as well as from the feedback generator. There are several disadvantages to use an optocoupler, however, including performance and durability issues. Here is an alternative to the optocoupler that uses a digital isolator, instead.

    Reply
  22. Tomi Engdahl says:

    Semiconductor Materials Offer Alternatives to Silicon
    https://www.designnews.com/electronics-test/semiconductor-materials-offer-alternatives-silicon/127903845159689?ADTRK=UBM&elq_mid=6471&elq_cid=876648

    MIT researchers have developed materials that can potentially replace silicon for the future of flexible electronics.

    Silicon is a good semiconducting material because it’s abundant and cost-effective. Yet researchers have been looking for alternative materials that can perform even better for high-performance electronics. Researchers at MIT think they can identify some of those alternatives with a new technique for fabricating ultra-thin semiconducting films comprised of exotic materials other than silicon.

    The scientists created flexible films from gallium arsenide, gallium nitride, and lithium fluoride. They have exhibited better semiconducting performance than silicon, but until now have been cost-prohibitive in terms of the production of functional devices, they said in an MIT news release.

    Previous Work

    The recent work builds on research the team conducted last year to use graphene to produce copies of expensive semiconducting materials. They stacked graphene on top of a pure, expensive wafer of semiconducting material such as gallium arsenide. They then flowed atoms of gallium and arsenide over the stack.

    Study opens route to flexible electronics made from exotic materials
    http://news.mit.edu/2018/study-flexible-electronics-made-exotic-materials-1008

    Cost-effective method produces semiconducting films from materials that outperform silicon.

    The vast majority of computing devices today are made from silicon, the second most abundant element on Earth, after oxygen. Silicon can be found in various forms in rocks, clay, sand, and soil. And while it is not the best semiconducting material that exists on the planet, it is by far the most readily available. As such, silicon is the dominant material used in most electronic devices, including sensors, solar cells, and the integrated circuits within our computers and smartphones.

    Reply
  23. Tomi Engdahl says:

    Computers a Million Times Faster Using New Materials
    https://www.designnews.com/electronics-test/computers-million-times-faster-using-new-materials/152364992759679?ADTRK=UBM&elq_mid=6471&elq_cid=876648

    Researchers have discovered that materials called transition metal dichalcogenides can enable unprecedented computer speeds and memory capabilities.

    While computers have come a long way since the early days of machines like the Commodore 64 in terms of memory and performance, researchers are constantly seeking ways to improve aspects of the technology. Now, researchers at Georgia State University (GSU) have made what they think is a key breakthrough involving materials called transition metal dichalcogenides (TMDCs). Specifically, they discovered that TMDCs possess optical properties that could make computers run at unprecedented memory speeds and energy efficiency, they said in a GSU news release.

    A Million Times Faster

    The materials—which are atomically thin semiconductors—could make computers run on the femtosecond time scale, or a million times faster than they do now, researchers said.

    Transition Metal Dichalcogenides Could Increase Computer Speed, Memory
    https://news.gsu.edu/2018/10/01/transition-metal-dichalcogenides-could-increase-computer-speed-memory-by-a-million-times-study-finds/

    Transition metal dichalcogenides (TMDCs) possess optical properties that could be used to make computers run a million times faster and store information a million times more energy-efficiently, according to a study led by Georgia State University.

    Computers operate on the time scale of a fraction of a nanosecond, but the researchers suggest constructing computers on the basis of TMDCs, atomically thin semiconductors, could make them run on the femtosecond time scale, a million times faster. This would also increase computer memory speed by a millionfold.

    “There is nothing faster, except light,” said Dr. Mark Stockman, lead author of the study and director of the Center for Nano-Optics and a Regents’ Professor in the Department of Physics and Astronomy at Georgia State. “The only way to build much faster computers is to use optics, not electronics. Electronics, which is used by current computers, can’t go any faster, which is why engineers have been increasing the number of processors. We propose the TMDCs to make computers a million times more efficient. This is a fundamentally different approach to information technology.”

    Reply
  24. Tomi Engdahl says:

    Insulating antiferromagnetic spintronics materials could bring cooler computing
    https://www.electropages.com/2018/11/spin-waves-circuit-viable/?utm_campaign=&utm_source=newsletter&utm_medium=email&utm_term=article&utm_content=Insulating+antiferromagnetic+spintronics+materials+could+bring+cooler+computing

    Today, computers use electrons and the electron’s charge carries them through the circuits of silicon. This generates heat and more computation produces even more heat. An alternative to this is the transport of spins, the movement of a charge around an electron’s axis in a particular direction. With a variable magnetic field, a charge’s spin direction can be controlled, and this determination of the spin can flow laterally from electron to electron in a material’s atomic structure, like a signal, a one or a zero. An important characteristic of this phenomena, for its eventual practical use, is that it can occur in room temperatures.

    Le Brun and his colleagues used a single crystal of haematite, a common antiferromagnetic iron oxide. The substance his team expects to dope the iron oxide with has not been decided upon, but selenium and titanium are candidates.

    A spin wave is also known as a magnon wave. Electronics based on spin waves are known as spintronics. The next stage in the work of Le Brun and his team is to improve the efficiency and amplitude of the magnon wave signal. “We will put our electrode, to inject the spin current, directly on the [antiferromagnetic] material, and we will treat the surface to improve this surface and have a better injection of spin,” explains Le Brun. “We didn’t try to optimise it until now.” The size of the crystal will also be reduced with a slimmer structure only 25 to 50 nanometres in thickness.

    Reply
  25. Tomi Engdahl says:

    Nine Top-15 2018 Semi Suppliers Forecast to Post Double-Digit Gains
    Samsung expected to extend its number one ranking and sales lead over Intel to 19%.
    http://www.icinsights.com/news/bulletins/Nine-Top15-2018-Semi-Suppliers-Forecast-To-Post-DoubleDigit-Gains-/

    Reply
  26. Tomi Engdahl says:

    Chips Growth Looks Set to Stall
    https://www.bloomberg.com/news/articles/2018-11-12/chips-growth-looks-set-to-stall

    Tech giants’ infrastructure spending doubled in three years. That’s unlikely to continue.

    buildup of inventory and a steady drop in prices have begun to undermine the industry line that an expanding range of new data-hungry artificial intelligence technologies, including driverless cars, will steadily fuel demand. With the chips business an unprecedented five years into a boom that’s doubled most of the big companies’ values, the consensus is building that winter is here.

    Reply
  27. Tomi Engdahl says:

    Why Chips Die
    https://semiengineering.com/why-chips-die/

    Semiconductor devices face many hazards before and after manufacturing that can cause them to fail prematurely.

    Semiconductor devices contain hundreds of millions of transistors operating at extreme temperatures and in hostile environments, so it should come as no surprise that many of these devices fail to operate as expected or have a finite lifetime. Some devices never make it out of the lab and many others die in the fab. It is hoped that most devices released into products will survive until they become obsolete, but many things can happen that cause them not to make it that far. Even devices that are operating correctly can be compromised to the point where they no longer provide correct results.

    There is a lengthy list of common hazards and what causes them. They typically fall into a handful of categories

    Reply
  28. Tomi Engdahl says:

    Why You Should Care About the Silkscreen: PCB Identification and Labels
    https://www.eeweb.com/profile/colin1964/articles/why-you-should-care-about-the-silkscreen-pcb-identification-and-labels-2?utm_source=newsletter&utm_campaign=ad&utm_medium=EDNPCBDesign-20181112

    One of my pet peeves about driving is when other drivers forget to use signal lights. It’s as if they are oblivious to the fact that there are other cars on the road. As with a lot of safety measures, implementing them may be annoying or easy to forget. However, it is important to remember that using signal lights isn’t for your benefit; it’s to indicate to other drivers that you plan to turn or change lanes so they can be alert. The same is true for the silkscreen PCB layer of your design. The silkscreen is there for others, primarily your PCB manufacturers and assembly manufacturer provider but also for anyone testing or troubleshooting the board.

    Reply
  29. Tomi Engdahl says:

    Finland Provides a New Source For Cobalt
    A worldwide search is on to find alternative suppliers for critical battery materials.
    https://www.designnews.com/electronics-test/finland-provides-new-source-cobalt/20318418059700?ADTRK=UBM&elq_mid=6426&elq_cid=876648

    Reply
  30. Tomi Engdahl says:

    Multi-Beam Mask Writing Finally Comes Of Age
    https://semiengineering.com/multi-beam-mask-writing-finally-comes-of-age/

    IMS’ chief executive talks about why multi-beam e-beam is an essential companion tool for EUV.

    EUV Mask Blank Battle Brewing
    https://semiengineering.com/euv-mask-blank-biz-heats-up/

    Applied Materials circles market as demand for next-gen technology grows.

    Amid the ramp of extreme ultraviolet (EUV) lithography in the market, suppliers of EUV mask blanks are expanding their production. And a new player—Applied Materials—is looking to enter the market.

    Reply
  31. Tomi Engdahl says:

    Some Chipmakers Sidestep Scaling, Others Hedge
    https://semiengineering.com/some-chipmakers-sidestep-scaling-others-hedge/

    No shortage of alternatives as materials, packaging and architectural options grow, and plenty of startups are jumping in.

    The rising cost of developing chips at 7nm coupled with the reduced benefits of scaling have pried open the floodgates for a variety of options involving new materials, architectures and packaging that either were ignored or not fully developed in the past.

    Some of these approaches are closely tied to new markets, such as assisted and autonomous vehicles, robotics and 5G. Others involve new applications of technologies such as AI across a broad swath of different markets, or cloud-type hyperscale architectures in data centers. What’s changed over the past 12 months or so is there are now more choices—and increasingly more nuanced choices. It’s no longer just about picking a processor or memory based upon a particular foundry process.

    This shift has ramifications for the entire manufacturing sector, as evidenced by GlobalFoundries’ decision to stay put at 14nm and scrap plans for 7nm. UMC likewise has stopped at 14nm, at least for now. Both continue to offer multiple finFET-based processes, but they are simultaneously expanding efforts in other directions. GlobalFoundries, for example, is betting big on FD-SOI, while UMC is pushing heavily into automotive.

    Reply
  32. Tomi Engdahl says:

    TSMC approves new capex spending for capacity expansion
    https://www.digitimes.com/news/a20181113PD214.html

    Taiwan Semiconductor Manufacturing Company (TSMC) has approved the appropriation of around US$3.36 billion for new fab facility construction, and advanced-node and specialty technology upgrades and related capacity expansions.

    The newly-approved capex will also be used for conversion of certain logic technology capacity to specialty technology capacity, as well as R&D capital investments and sustaining capital expenditures for first-quarter 2019.

    Reply
  33. Tomi Engdahl says:

    U.S. Likely to Impose High Tariffs on Semiconductors Next Year
    http://www.businesskorea.co.kr/news/articleView.html?idxno=26552

    Korean exporters are groaning under mounting U.S. trade protectionism. According to industry sources, President Donald Trump is likely to put pressure on the Korean semiconductor industry, as well as the automobile industry, by imposing excessive tariffs based on Section 232 of the Trade Expansion Act of 1962.

    The Federation of Korean Industries said on Nov. 13 that there is an 80 percent change of Washington applying the section to the South Korean semiconductor and automotive industries in 2019. The Korea Semiconductor Industry Association explained that the U.S. is likely to apply the section next year in order to keep China at bay in high-tech industries.

    South Korea’s exports to China account for as much as 40% of its total exports.

    Reply
  34. Tomi Engdahl says:

    EE Times Silicon 60: The Rise of Machine Learning
    https://www.eetimes.com/document.asp?doc_id=1333984

    EE Times’ 19th revision of the Silicon 60, our annual list of startups to watch, documents the definitive rise of machine learning as a form of hardware-supported computing. The development atmosphere is feverish, and the technology market has the same air of dynamic, fast-paced change that it had when the first microprocessors launched in the 1970s.

    Of course, the Silicon 60 is broader than machine learning. This year’s profiled startups are working on silicon and compound semiconductor manufacturing; conductive materials and metamaterials; analog and digital ICs and systems-on-chip (SoCs); memory; field-programmable gate array (FPGA) fabrics; gallium nitride for power and lighting; energy harvesting; sub-threshold-voltage operation of ICs; signal-processing techniques; 5G communications for automobiles and the internet of things (IoT); LiDAR; wireless power transfer; environmental sensors; microelectromechanical system (MEMS) design and manufacturing; cloud-based EDA; organic LED and micro-LED displays; neural networks; and other architectures for machine learning, vision, and cognitive processing.

    Reply
  35. Tomi Engdahl says:

    IBM Explores Copper Magnetism for Use in Memory
    https://www.eetimes.com/document.asp?doc_id=1333982

    In a paper recently published in the journal Nature Nanotechnology, IBM Research scientists Dr. Christopher Lutz and Dr. Kai Yang demonstrated how they can control the magnetism of a single atom’s nucleus by performing Nuclear Magnetic Resonance (NMR) one atom at a time.

    The STM lets researchers build structures from atoms to test them out so they can understand what they want to build in the future by using a technique called spin resonance.

    “We are exploring what happens when we probe atoms one at a time and look at their magnetic properties,” said Lutz. “We’ve learned first to sense the magnetic state of a nucleus and then to control it.”

    Electrically controlled nuclear polarization of individual atoms
    https://www.nature.com/articles/s41565-018-0296-7

    Reply
  36. Tomi Engdahl says:

    AMD Beats Intel, Nvidia to 7 nm
    Epyc, Vega meet but don’t blow away Xeon, Volta
    https://www.eetimes.com/document.asp?doc_id=1333944

    Advanced Micro Devices launched its first 7-nm CPU and GPU at the lucrative target of the data center. It showed working chips that delivered comparable performance to Intel’s 14-nm Xeon and Nvidia’s 12-nm Volta.

    AMD has yet to reveal many details about the new chips and their performance. However, analysts are generally bullish that the company will be able to continue a significant comeback since it launched its first Zen-based chips on a 14-nm process in late 2016.

    Reply
  37. Tomi Engdahl says:

    Sensing the Future, TDK Buys It
    https://www.eetimes.com/document.asp?doc_id=1333979

    TDK Corp. has enviable brand recognition. The problem is, it’s known for the wrong products.

    The TDK brand is tightly associated with magnetic tapes (audio and videocassette) and magnetic hard disk drive (HDD) heads. The company was founded in 1935 to market the world’s first manufactured magnetic material, ferrite. Given its heritage, TDK has ample reason to be proud of its magnetic personality.

    In the era of digital streaming and cloud computing, however, TDK has had to diversify or risk irrelevance. The good news is that the company has had practice reinventing itself. For decades, it has been buying its way into new technology and product markets through mergers and acquisitions.

    in 2005, TDK acquired China’s Amperex Technology Ltd., the world’s leader in lithium-ion batteries.

    TDK is now flexing its M&A muscles in the sensors segment.

    In 2017, the company made its sensor ambitions clear when it spent US$1.3 billion to buy InvenSense (San Jose, California), a leading supplier of motion sensors. TDK has amassed a range of sensor technologies through acquisition, but the InvenSense deal was a watershed moment.

    In an exclusive interview, CTO Dai Matsuoka recently told EE Times that, “except for CMOS image sensors, TDK now has almost all the pieces it needs to make a big play in the sensor market.”

    Reply
  38. Tomi Engdahl says:

    Computers a Million Times Faster Using New Materials
    https://www.designnews.com/electronics-test/computers-million-times-faster-using-new-materials/152364992759679?ADTRK=UBM&elq_mid=6522&elq_cid=876648

    Researchers have discovered that materials called transition metal dichalcogenides can enable unprecedented computer speeds and memory capabilities.

    Reply
  39. Tomi Engdahl says:

    Containing Design Complexity With POP IP
    How to deal with complex power issues at advanced nodes.
    https://semiengineering.com/containing-design-complexity-with-pop-ip/

    Reply
  40. Tomi Engdahl says:

    International roster of chip makers honored at World Electronics Achievement Awards
    https://www.edn.com/electronics-blogs/brianwaves/4461283/International-roster-of-chip-makers-honored-at-World-Electronics-Achievement-Awards?utm_source=newsletter&utm_campaign=ad&utm_medium=EDNFunFriday-20181116

    The impending demise of Moore’s Law might be alarming from a conceptual standpoint, but as a practical matter the semiconductor industry’s potential for innovation hasn’t diminished at all. The winners of the 2018 World Electronics Achievement Awards (WEAA), announced on November 9, together constitute ample evidence that this is so.

    Reply
  41. Tomi Engdahl says:

    GaN Power Transistors: Master Strokes on a Power-Supply Canvas
    https://www.electronicdesign.com/power/gan-power-transistors-master-strokes-power-supply-canvas?code=NN6TI142&utm_rid=CPG05000002750211&utm_campaign=21442&utm_medium=email&elq2=1b1df49bec914eab86cbacc2e036bb2a

    Sponsored by Texas Instruments: Adding gallium-nitride devices such as FETs to power-supply topologies such as the active-clamp flyback can lead to a variety of performance improvements.

    Reply
  42. Tomi Engdahl says:

    Why Special Care is Needed When Fuel-Gauging LiFeP04 Batteries
    https://www.electronicdesign.com/power/why-special-care-needed-when-fuel-gauging-lifep04-batteries?NL=ED-003&Issue=ED-003_20181116_ED-003_57&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=21483&utm_medium=email&elq2=4daba425e9ec4332a40273f0c749f8e4

    Accurate fuel-gauging is crucial for lithium-iron-phosphate batteries. This article highlights an OCV algorithm leveraging coulomb counting that’s effective in achieving such a goal.

    Numerous variations of Li-ion batteries are on the market, each with their unique characteristics. Nonetheless, these batteries can be combined into groups based on their chemistries.

    A Closer Look at LFP Batteries

    Advantages

    Lithium iron phosphate is used in the cathode of LFP batteries, while carbon is used in the anode. LFP batteries are thermally and chemically more stable than batteries with other chemistries. They don’t combust even during fault conditions such as overcharge or short-circuit, and aren’t prone to thermal runaway. The batteries may also be used in a wide range of temperatures from −40 to 70°C.

    At the same time, LFP batteries offer longer cycle life, from 1000 to 2000 cycles, compared to LCO, LMO, NMC, and NCA cells.

    Drawbacks

    LFP batteries have lower nominal voltages of 3.2 V, which means that the specific energy is lower than in LCO, LMO, NMC, and NCA cells. The cells are also sensitive to moisture and water.

    Just like batteries with other chemistries, LFP batteries tend to perform worse at colder temperatures.

    Typical Applications

    LFP batteries are used in a wide variety of applications. They include small electric vehicles, electric green mowers, scissor lifts, garbage trucks, robotics, home energy storage, hybrid generators, truck APU systems, weather-monitoring devices, ocean buoys, oil and gas pipeline equipment, license-plate monitoring devices, depth finders, paddle boards, and playground equipment.

    Reply

Leave a Comment

Your email address will not be published. Required fields are marked *

*

*