Electronics trends for 2018

Here are some of my collection of newest trends and predictions for year 2018. I have not invented those ideas what will happen next year completely myself. I have gone through many articles that have given predictions for year 2018. Then I have picked and mixed here the best part from those articles (sources listed on the end of posting) with some of my own additions to make this posting.This article contains very many quotations from those source articles (hopefully all acknowledged with link to source).

The general trend in electronics industry is that the industry growth have been driven by mobile industry. Silicon content in smartphones and other mobile devices is increasing as vendors add greater functionality. Layering on top of that are several emerging trends such as IoT, big data, AI and smart vehicles that are creating demand for greater computing power and expanding storage capacity.

 

Manufacturing trends

According to Foundry Challenges in 2018 article the silicon foundry business is expected to see steady growth in 2018. The growth in semiconductor manufacturing will remain steady, but there will be challenges in the manufacturing capacity and  expenses to move to the next nodes. For most applications, unless you must have highest levels of performance, there may not be as compelling a business case to focus on the bleeding-edge nodes. Over the last two years, the IC industry has experienced an acute shortage of 200mm fab capacity (legacy MCU, power, sensors, 6-micron to 65nm). In 2018, 200mm capacity will remain tight. An explosion in 200mm demand has set off a frenzied search for used semiconductor manufacturing equipment that can be used at older process nodes. The problem is there is not enough used equipment available. The profit margins in manufacturing are so thin in markets served by those fabs that it’s hard to justify paying current rising equipment prices, and newcomers may have a tough time making inroads. Foundries with fully depreciated 200mm equipment and capacity already are seeing increased revenues in their 200mm business.The specialty foundry business is undergoing a renaissance, thanks to the emergence of 5G and automotive.

300mm is expected to follow a similar path for lack of capacity because 300mm fabs already produce leading-edge chips and more mainstream 300mm demand is driven by MCUs, wireless communications and storage applications. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm

In 2017, marking the first time that the semiconductor equipment market has exceeded the previous market high of US$47.7 billion set in 2000. Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAM. In 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017. In 2018, 7.5 percent growth is expected to result in sales of US$60.1 billion for the global semiconductor equipment market – another record-breaking year. Demand looks solid across the three main growth drivers for fab tool vendors—DRAM, NAND and foundry/logic.
Rising demand for chips is hitting the IC packaging supply chain, causing shortages of select manufacturing capacity, various package types, leadframes and even some equipment. Spot shortages for some IC packages began showing up in 2017, but the problem has been growing and spreading since then, so  packaging customers may encounter select shortages well into 2018Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018.

Market for advanced packaging begins to diverge based on performance and price. Advanced Packaging is now viewed as the best way to handle large amounts of data at blazing speeds.

Moore’s law

Many recent publications say Moore’s Law is dead. Though Moore’s Law is dead may be experiencing some health challenges, it’s not time to start digging the grave for the semiconductor and electronics market yet

Even smaller nodes are still being taken to use in high end chips. The node names are confusing. Intel’s 10nm technology is roughly equivalent to the foundry 7nm node.In 2018, Intel is expected to finally ramp up 10nm finally in the first half of 2018. In addition, GlobalFoundries, Samsung and TSMC will begin to ship their respective 7nm finFET processes. On the leading edge, GlobalFoundries, Intel, Samsung and TSMC start migrating from the 16nm/14nm to the 10nm/7nm logic nodes. It is expected that some chip-makers face some challenges on the road. Time will tell if GlobalFoundries, Samsung and TSMC will struggle at 7nm. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm. 7nm is projected to generate sales from $2.5 billion to $3.0 billion in 2018. Over time 10nm/7nm is expected to be a big and long-running node. Suppliers of FPGAs and processors are expected to jump on 10nm/7nm.

South Korea’s Samsung Electronics said it has commenced production of the second generation of its 10nm-class 8-Gb DDR4 DRAM. Devices labeled 10nm-class have feature sizes as small as 10 to 19 nanometers. With the continued need for shrinking pattern dimensions, semiconductor manufacturers continue to implement more complex patterning techniques, such as advanced multi-patterning, for the 10nm design node and beyond. They also are investing significant development effort in readying EUV lithography for production at the 7/5nm design nodesSamsung is planning to begin transitioning to EUV for logic chips next year at the 7nm node, although it is unclear when the technology will be put into production for DRAM.

There will be talk on even smaller nodes. FinFETs will get extended to at least to 5nm, and possibly 3nm in next 5 years. The path to 5nm loks pretty clear. FinFETs will get extended at least to 5nm. It’s possible they will get extended to 3nm. EUV will be used at new nodes, followed by High NA Lithography. New smaller nodes challenges the chip design as abstractions become more difficult at 7nm and beyond. Models are becoming more difficult to develop, integrate and utilize effectively at 10/7nm and beyond as design complexity, process variation and physical effects add to the number of variables that need to be taken into account. Materials and basic structures may diverge by supplier, at 7 nm and beyond. Engineering and scientific teams at 3nm and beyond will require completely different mixes of skills than today.

Silicon is still going strong, but the hard fact is that CMOS has been running out of steam for several nodes, and that becomes more obvious at each new node. To extend into new markets and new process nodes Chipmakers Look To New Materials. There are a number of compounds in use already (generally are being confined to specific niche applications), such as gallium arsenide, gallium nitride, and silicon carbide. Silicon will be supplemented by 2D materials to extend Moore’s Law. Transition metal dichalcogenides (TMDCs), a class of 2D materials derived from basic elements—principally tellurium, selenium, sulfur, and oxygen—are being widely explored by researchers. TMDCs are functioning as semiconductors in conjunction with graphene. Graphene, the wonder material rediscovered in 2004, and a host of other two-dimensional materials are gaining ground in manufacturing semiconductors as silicon’s usefulness begins to fade. Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. Future progress increasingly will require a mix of different materials and disciplines, but silicon will remain a key component.

Interconnect Materials need to to be improved. For decades, aluminum interconnects were the industry standard. In the late 1990s, chipmakers switched to copper. Over the years, transistors have decreased dramatically in size, so interconnects also have had to scale in size leading to roadblock known as the RC challenge. Industry is investing significant effort in developing new approaches to extend copper use and finding new metals. There’s also some investigation into improvements on the dielectric side. The era of all-silicon substrates and copper wires may be coming to an end.

Application markets

Wearables are a question mark. Demand for wearables slowed down in 2017 so much that smart speakers likely outsold wearable devices in 2017 holiday season.  eMarketer is estimating that usage of wearable will grow just 11.9 percent in 2018, rising from 44.7 million adult wearable users in 2017 to 50.1 million in 2018. On the other hand market research firm IDC estimates that the shipments of wearable electronics devices are projected to more than double over the next five years as watches displace fitness trackers as the biggest sellers. IDC forecasts that wearables shipments will increase at a compound annual growth rate of 18.4 percent between 2017 and 2021, rising from 113.2 million this year to 222.3 million in 2021. At the same time fitness trackers are expected to become commodity product. Tomorrow’s wearables will become more fully featured and multi-functional.

The automotive market for semiconductors is shifting into high gear in 2018. Right now the average car has about $350 worth of semiconductor content, but that is projected to grow another 50% by 2023 as the overall automotive market for semiconductors grows from $35 billion to $54 billion. The explosion of drive-by-wire technology, combined with government mandates toward fully electric powertrains, has changed this paradigm—and it impacts more than just the automotive industry. Consider implications beyond the increasingly complex vehicle itself, including new demands on supporting infrastructure. The average car today contains up to 100 million lines of code. Self-driving car will have considerably more code in it. Software controls everything from safety critical systems like brakes and power steering, to basic vehicle controls like doors and windows. Meeting ISO 26262 Software Standards is needed but it will not make the code bug free. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC. The shift to autonomous vehicles marks a major shift in the supply chain—and a major opportunity.

Many applications have need for a long service life — for example those deployed within industrial, scientific and military industries. In these applications, the service life may exceed that of component availability. Replacing an advanced, obsolete components in a design can be very costly, potentially requiring an entire redesign of the electronic hardware and software. The use of programmable devices helps designers not only to address component obsolescence, but also to reduce the cost and complexity of the solution. Programmable logic devices are provided in a range of devices of different types, capabilities and sizes, from FPGAs to System on Chips (SoC) and Complex Programmable Logic Devices (CPLD). The obsolete function can be emulated within the device, whether it is a logic function implemented in programmable logic in a CPLD, FPGA or SoC, or a processor system implemented in an FPGA or SoC.

Become familiar with USB type C connector. USB type C connector is becoming quickly more commonplace than any other earlier interface. In the end of 2016 there were 300 million devices using a USBC connection – a big part was smartphones, but the interface was also widespread on laptops. With growth, the USBC becomes soon the most common PC and peripheral interface. Thunderbolt™ 3 on USBC connector promises to fulfill the promise of USB-C for single-cable docking and so much more.

 

Power electronics

The power electronics market continues to grow and gain more presence across a variety of markets2017 was a good year for electric vehicles and the future of this market looks very promising. In 2017, we saw also how wireless charging technology has been adopted by many consumer electronic devices- including Apple smart phones. Today’s power supplies do more than deliver clean and stable dc power on daily basis—they provide advanced capabilities that can save you time and money.

Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. At the moment, the number of applications for those materials is steadily increasing in the automotive and military industry. Expect to see more adoption of SiC and GaN materials in automotive market.

According to Battery Market Goes Bigger and Better in 2018 article advances in battery technologies hold the keys to continuing progress in portable electronics, robotics, military, and telecommunication applications, as well as distributed power grids. It is difficult to see lithium-ion based batteries being replaced anytime soon, so the advances in battery technology are primarily through the application of lithium-ion battery chemistries. New battery protection for portable electronics cuts manufacturing steps and costs for Lithium-ion.

Transparency Market Research analysts predict that the global lithium-ion battery market is poised to rise from $29.67 billion in 2015 to $77.42 billion in 2024 with a compound annual growth rate of 11.6 %. That growth has already spread from the now ubiquitous consumer electronics segment to automotive, grid energy, and industrial applications. Dramatic increase is expected for battery power for the transportation, consumer electronic, and stationary segments. According to Bloomberg New Energy Finance (BNEF), the global energy-storage market will double six times between 2016 and 2030, rising to a total of 125 G/305 gigawatt-hours. In 2018, energy-storage systems will continue proliferating to provide backup power to the electric grid.

Memory

Memory business boomed in 2017 for both NAND and DRAM. The drivers for DRAM are smartphones and servers. Solid-state drives (SSDs) and smartphones are fueling the demand for NAND.  Both the DRAM and NAND content in smartphones continues to grow, so memory business will do well in 2018.Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAMIn 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017.

NAND Market Expected to Cool in Q1 from the crazy year 2017, but it is still growing well because there is increasing demand. The average NAND content in smartphones has been growing by roughly 50% recently, going from approximately 24 gigabytes in 2016 to approximately 38 gigabytes today.3D NAND will do the heavy memory lifting that smartphone users demand. Contract prices for NAND flash memory chips are expected to decline in during the first quarter of 2018 as a traditional lull in demand following the year-end quarter.

Lots of 3D NAND will go to solid state drives in 2018. IDC forecasts strong growth for the solid-state drive (SSD) industry as it transitions to 3D NAND.  SSD industry revenue is expected to reach $33.6 billion in 2021, growing at a CAGR of 14.8%. Sizes of memory chips increase as number of  layer in 3D NAND are added. We’ve already scaled up to 48 layers. Does this just keep scaling up, or are there physical limits here? Maybe we could see a path to 256 layers in few years.

Memory — particular DRAM — was largely considered a commodity business. Though that it’s really not true in 2017. DRAM memory marked had boomed in 2017 at the highest rate of expansion in 23 years, according to IC Insights. Skyrocketing prices drove the DRAM market to generate a record $72 billion in revenue, and it drove total revenue for the IC market up 22%. Though the outlook for the immediate future appears strong, a downturn in DRAM more than likely looms in the not-too-distant future. It will be seen when there are new players on the market. It is a largely unchallenged assertion that Chinese firms will in the not so distant future become a force in semiconductor memory market. Chinese government is committed to pumping more than $160 billion into the industry over a decade, with much of that ticketed for memory startups.

There is search for faster memory because modern computers, especially data-center servers that skew heavily toward in-memory databases, data-intensive analytics, and increasingly toward machine-learning and deep-neural-network training functions, depend on large amounts of high-speed, high capacity memory to keep the wheels turning. The memory speed has not increased as fast as the capacity. The access bandwidth of DRAM-based computer memory has improved by a factor of 20x over the past two decades. Capacity increased 128x during the same period. For year 2018 DRAM remains a near-universal choice when performance is the priority. There has been some attempts to very fast memory interfaces. Intel the company has introduced the market’s first FPGA chip with integrated high-speed EMBED (Embedded Multi-Die Interconnect Bridge): The Stratix 10 MX interfaces to HMB2 memory (High Memory Bandwidth) that offers about 10 times faster speed than standard DDR-type DIMM.

There is search going on for a viable replacement for DRAM. Whether it’s STT-RAM or phase-change memory or resistive RAM, none of them can match the speed or endurance of DRAM. Necessity is the mother of invention, and we see at least two more generations after 1x. XPoint is also coming up as another viable memory solution that could be inserted into the current memory architecture. It will be interesting to see how that plays out versus DRAM.

5G and IoT

5G something in it for everyone. 5G is big.  5G New Radio (NR) wireless technology will ultimately impact everyone in the electronics and telecommunications industries. Most estimates say 2020 is when we will ultimately see some real 5G deployments on a scale. In the meantime, companies are firming up their plans for whatever 5G products and services they will offer. Though test and measurement solutions will be key in the commercialization cycle. 5G is set to disrupt test processes. If 5G takes off, the technology will propel the development of new chips in both the infrastructure and the handset. Data centers require specialty semiconductors from power management to high-speed optical fiber front-ends. 5G systems will drive more complexity in RF front-ends .5G will offer increased capacity and decreased latency for some critical applications such as vehicle-to-vehicle (V2V) or vehicle-to-infrastructure (V2I) communications for advanced driver assistance systems (ADAS) and self-driving vehicles. The big question is whether 5G will disrupt the landscape or fall short of its promises.

Electronics manufacturers expect a lot from Internet of Thing. The evolution of intelligent electronic sensors is creating a revolution for IoT and Industrial IoT as companies bring new sensor-based, intelligent systems to market. The business promise is that the proliferation of smart and connected “things” in the Industrial Internet of Things (IIoT) provides tremendous opportunities for increased performance and lower costs. Industrial Internet of Things (IIoT) has a market forecast approaching $100 billion by 2020. Turning volumes of factory data into actionable information that has value is essential. Predictive maintenance and asset tracking are two big IoT markets to watch in 2018 because they will provide real efficiencies and improved safety. It will be about instrumenting our existing infrastructures with sensors that improve their reliability and help predict failures. It will be about tracking important assets through their lifecycles.

A new breed of designers has arrived that is leveraging inexpensive sensors to build the intelligent systems at the edge of the Internet of Things (IoT). They work in small teams, collaborate online, and they expect affordable design tools that are easy to use in order to quickly produce results. Their goal is to deliver a functioning device or a proof-of-concept to their stakeholders while spending as little money as possible to get there. We need to become multi-functional engineers who can comfortably work in the digital, RF, and system domains.

The Io edge sensor  device usually needs to be cheap. Simple mathematical reasoning suggests that the average production cost per node must be small, otherwise the economics of the IoT simply are not viable. Most suppliers to the electronics industry are today working under the assumption that the bill-of-materials (BoM) cost of a node cannot exceed $5 on average. While the sensor market continues to garner billions of dollars, the average selling price of a MEMS sensor, for example, is only 60 cents.

Designing a well working and secure IoT system is still hard. IoT platforms are very complex distributed systems and managing these distributed systems is often an overlooked challenge. When designing for the IoT, security needs to be addressed from the Cloud down to each and every edge device. Protecting data is both a hardware and a software requirement, as more data is being stored and analyzed in edge devices and gateways.

The continued evolution of powerful embedded processors is enabling more functionality to be consolidated into single heterogeneous multicore devices. You will see more mixed criticality designs – those designs which contain both safety-critical and non-safety critical processes running on the same chip. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC.

AI

There is clearly a lot of hype surrounding machine learning (ML) and artificial intelligence (AI) fields. Over the past few years, machine learning (ML) has evolved from an interesting new approach that allows computers to beat champions at chess and Go, into one that is touted as a panacea for almost everything. Machine learning already has delivered beneficial results in certain niches, but it has potential for a bigger and longer lasting impact because of the demand for broad insights and efficiencies across industries. Also EDA companies have been investing in this technology and some results are expected to be announced.

The Battle of AI Processors Begins in 2018. Machine learning applications have a voracious appetite for compute cycles, consuming as much compute power as they can possibly scrounge up. As a result, they are invariably run on parallel hardware – often parallel heterogeneous hardware—which creates development challenges of its own. 2018 will be the start of what could be a longstanding battle between chipmakers to determine who creates the hardware that artificial intelligence lives on. Main contenders on the field at the moment are CPUs, GPUs, TPUs (tensor processing units), and FPGAs. Analysts at both Research and Markets and TechNavio have predicted the global AI chip market to grow at a compound annual growth rate of about 54% between 2017 and 2021.

 

Sources:

Battery Market Goes Bigger and Better in 2018

Foundry Challenges in 2018

Smart speakers to outsell wearables during U.S. holidays, as demand for wearables slows

Wearables Shipments Expected to Double by 2021

The Week In Review: Manufacturing #186

Making 5G Happen

Five technology trends for 2018

NI Trend Watch 2018 explores trends driving the future faster

Creating Software Separation for Mixed Criticality Systems

Isolating Safety and Security Features on the Xilinx UltraScale+ MPSoC

Meeting ISO 26262 Software Standards

DRAM Growth Projected to be Highest Since ’94

NAND Market Expected to Cool in Q1

Memory Market Forecast 2018 … with Jim Handy

Pushing DRAM’s Limits

3D NAND Storage Fuels New Age of Smartphone Apps

$55.9 Billion Semiconductor Equipment Forecast – New Record with Korea at Top

Advanced Packaging Is Suddenly Very Cool

Fan-Outs vs. TSVs

Shortages Hit Packaging Biz

Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018

Rapid SoC Proof-of-Concept for Zero Cost

EDA Challenges Machine Learning

What Can You Expect from the New Generation of Power Supplies?

Optimizing Machine Learning Applications for Parallel Hardware

FPGA-dataa 10 kertaa nopeammin

The 200mm Equipment Scramble

Chipmakers Look To New Materials

The Trouble With Models

What the Experts Think: Delivering the next 5 years of semiconductor technology

Programmable Logic Holds the Key to Addressing Device Obsolescence

The Battle of AI Processors Begins in 2018

For China’s Memory Firms, Legal Tests May Loom

Predictions for the New Year in Analog & Power Electronics

Lithium-ion Overcomes Limitations

Will Fab Tool Boom Cycle Last?

The Next 5 Years Of Chip Technology

Chipmakers Look To New Materials

Silicon’s Long Game

Process Window Discovery And Control

Toward Self-Driving Cars

Sensors are Fundamental to New Intelligent Systems

Industrial IoT (IIoT) – Where is Silicon Valley

Internet of things (IoT) design considerations for embedded connected devices

How efficient memory solutions can help designers of IoT nodes meet tight BoM cost targets

What You Need to Become a Multi-Functional Engineer

IoT Markets to Watch in 2018

USBC yleistyy nopeasti

1,325 Comments

  1. Tomi Engdahl says:

    What Makes A Chip Design Successful Today?
    https://semiengineering.com/what-makes-a-chip-design-successful-today/

    Maximum flexibility is no longer the reliable path to product success. While flexibility must be there for a purpose, it also can be a liability.

    “Transistors are free” was the rallying cry of the semiconductor industry during the 1990s and early 2000s. That is no longer true.

    The end of Dennard scaling made the simultaneous use of all the transistors troublesome, but transistors remained effectively unlimited. This led to an era where large amounts of flexibility could be built into a chip. It didn’t matter if all of it was being used; greater flexibility made the total market opportunity larger.

    Fast forward another decade and unused transistors are becoming a lot more expensive—and in some cases a liability.

    With scaling no longer happening for many companies, competitiveness now comes from better design, better performance and lower power.

    Reply
  2. Tomi Engdahl says:

    The Cost Of Accuracy
    https://semiengineering.com/the-cost-of-accuracy/

    Accuracy is a relative term that complicates design and verification. Machine learning makes the industry face some of those realities head on.

    Reply
  3. Tomi Engdahl says:

    Making Sense Of DRAM
    What kind of memory is used where and why.
    https://semiengineering.com/making-sense-of-dram/

    Reply
  4. Tomi Engdahl says:

    Wccftech:
    A source from a Taiwanese PC hardware maker discusses how the industry is adjusting to the escalating US-China trade tensions and tariffs

    EXCLUSIVE: On Decoupling US – China Tech, Industry Source: “Electronics industry is too reliant on Chinese manufacturing”
    https://wccftech.com/exclusive-decoupling-us-china-tech-industry-too-reliant-on-chinese-manufacturing/

    Reply
  5. Tomi Engdahl says:

    Topologisia ja muita vähällä toimivia transistoreita
    https://www.nanobitteja.fi/uutiset.html?147698

    Uusi lasertekniikka kemian antureille
    https://www.nanobitteja.fi/uutiset.html?147792

    Reply
  6. Tomi Engdahl says:

    New Uses for Graphene in Alternative Energy Development
    https://www.designnews.com/electronics-test/new-uses-graphene-alternative-energy-development/42292384059832?ADTRK=UBM&elq_mid=6868&elq_cid=876648

    Researchers in Sweden have made two discoveries in their work with graphene that pave the way for the development of alternative energy sources as well as other magnetic and electrical applications.

    Reply
  7. Tomi Engdahl says:

    TPS2662 (ACTIVE)
    60V 800mA Industrial eFuse With Integrated Reverse Polarity Protection
    http://www.ti.com/product/TPS2662?HQS=app-psil-psw-tps2662-exah-pf-ElectroPages-eu&DCM=yes

    Reply
  8. Tomi Engdahl says:

    Spintronics Achieves Breakthrough for Design of Low-Power Electronics
    https://www.designnews.com/electronics-test/spintronics-achieves-breakthrough-design-low-power-electronics/97478848259884

    A new way to control magnetism in chips using spintronics developed at MIT paves the way for the design of ultra-low-power electronic components and memory devices.

    Reply
  9. Tomi Engdahl says:

    Why This RF MEMS Switch Technology Is So Revolutionary
    https://www.arrow.com/en/research-and-events/videos/why-this-rf-mems-switch-technology-is-so-revolutionary

    Compared to traditional RF relays, this amazing RF MEMS switch technology can provide a relay replacement solution that is 95% smaller, 30 times faster, 10 times more reliable, and use 10 times less power than conventional electromechanical relays. . What does all that mean?

    Reply
  10. Tomi Engdahl says:

    https://semiengineering.com/manufacturing-bits-dec-18/

    Gallium oxide breakthroughs

    Crystalline beta gallium oxide is a promising wide bandgap semiconductor material. It has a large bandgap of 4.8–4.9 eV with a high breakdown field of 8 MV/cm.

    The technology has a high voltage figure of merit, which is more than 3,000 times greater than silicon, more than 8 times greater than silicon carbide (SiC) and more than 4 times greater than that of gallium nitride (GaN).

    Potential applications for this technology include power devices. However, the technology is still in its infancy.

    Reply
  11. Tomi Engdahl says:

    5 Observations From Intel’s Event
    Mysterious locations, codenames and process delays are on the top of the list.
    https://semiengineering.com/5-observations-from-intels-event/

    Reply
  12. Tomi Engdahl says:

    Safe debugging of embedded power supplies
    https://www.electronicdesign.com/embedded-revolution/safe-debugging-embedded-power-supplies?code=RSER1b-12172018&utm_rid=CPG05000002750211&utm_campaign=22158&utm_medium=email&elq2=43c37683011a43a1a5c2ebaec9717630

    Embedded power supplies combine traditional power supply components with multiple sensors, processing and control logic as well as digital communications interfaces as well as digital communication interfaces.

    Reply
  13. Tomi Engdahl says:

    What’s A Mott FET?
    Strange physics and future devices.
    https://semiengineering.com/whats-a-mott-fet/

    The unique physics of two-dimensional semiconductors offers the potential for new kinds of switches that could extend the usefulness of conventional MOSFETs into a variety of new areas.

    A MOSFET applies a voltage to one side of the gate capacitor. The resulting electric field in the channel shifts the band structure and facilitates or impedes the flow of carriers. So as devices shrink, the gate capacitance shrinks, as well.

    The problem is that fast switching speeds require short channels and high carrier densities.

    The limitations of the MOSFET structure are well-known and have helped motivate the last several decades of device research. Gate-all-around transistors, for example, seek to improve control of the channel by applying an electric field from all sides. Tunnel FETs (TFETs), meanwhile, depend on tunneling through an energy barrier.

    Reply
  14. Tomi Engdahl says:

    Choosing between DIN and Deutsch connectors
    https://www.controleng.com/articles/choosing-between-din-and-deutsch-connectors/

    DIN connectors are easier to assemble than Deutsch connectors, but Deutsch connectors have more customizable parts for specialized applications.

    Reply
  15. Tomi Engdahl says:

    Fundamental Shifts In 2018
    https://semiengineering.com/fundamental-shifts-in-2018/

    This will go down as a good year for the semiconductor industry, where new markets and innovation were both necessary and rewarded.

    Reply
  16. Tomi Engdahl says:

    From Proof-of-concept to Product: Designs of a MEMS Sensor
    https://spectrum.ieee.org/semiconductors/design/from-proofofconcept-to-product-designs-of-a-mems-sensor

    The ultrasonic sensor produces acoustic waves in the tank and then measures the waves that get reflected by the liquid, resulting in a small analog waveform that requires amplification. The time difference between sending the wave and the measured reflected wave is proportional to the distance to the liquid.

    Reply
  17. Tomi Engdahl says:

    Reuters:
    Israel will give Intel a $185M grant for a planned $5B expansion of its production operations in the country, expects the company to hire 250 new employees

    Intel to get 700 million shekel grant for Israel expansion
    https://www.reuters.com/article/us-israel-intel/intel-to-get-700-million-shekel-grant-for-israel-expansion-idUSKCN1OO0JD

    Israel will give Intel Corp (INTC.O) a 700 million shekel ($185 million) grant in return for a planned $5 billion expansion of its production operations in Israel.

    Reply
  18. Tomi Engdahl says:

    Mara Hvistendahl / MIT Technology Review:
    Chinese tech companies have thrived thanks to government incentives that allowed them to grow, but government ties can also prove problematic as they go global

    China’s tech giants want to go global. Just one thing might stand in their way.
    https://www.technologyreview.com/s/612598/chinas-tech-giants-want-to-go-global-just-one-thing-might-stand-in-their-way/

    Multibillion-dollar companies like Alibaba and Tencent have thrived thanks to a government that provided incentives but otherwise let them grow. Can they count on that in the future?

    Reply
  19. Tomi Engdahl says:

    For some time, Intel has had big plans for its foundry business, dubbed Intel Custom Foundry (ICF). Now, rumors are running rampant that Intel is exiting the foundry business. A spokeswoman for Intel said: “We don’t comment on rumor or speculation.” Samuel Wang, an analyst at Gartner, said: “There has been no official word from Intel that they will exit ICF. They have mentioned that use of outside foundries can be part of their ongoing flexible strategy.”

    IBM has announced an agreement with Samsung under which Samsung would manufacture IBM’s 7nm microprocessors. The processors involve IBM Power Systems, IBM Z and LinuxONE high-performance computing systems, and cloud offerings.

    As expected, Cisco has announced the intent to acquire Luxtera, a supplier of silicon photonics chips.

    Xilinx has hired Barclays to advise on a bid to buy Mellanox. Now, Microsoft is interested in acquiring Mellanox

    Source: https://semiengineering.com/week-in-review-manufacturing-test-25/

    Reply
  20. Tomi Engdahl says:

    DMM sports built-in IR camera
    https://www.edn.com/electronics-products/other/4461410/DMM-sports-built-in-IR-camera?utm_source=Aspencore&utm_medium=EDN&utm_campaign=social

    With its 80×80-pixel thermal camera, the Mercury digital multimeter (DMM) from HT Instruments can detect hot spots caused by electrical problems, shorts, and malfunctions. The camera’s infrared sensor has a temperature range of -20°C to +260°C with a sensitivity of 0.1°C.

    Reply
  21. Tomi Engdahl says:

    Using Software Approaches In Hardware Verification
    https://semiengineering.com/using-software-approaches-in-hardware-verification/

    Agile development is gaining traction for developing hardware testbenches, but challenges remain.

    “A testbench is nothing more than a big software project, and it makes perfect sense to adopt the Agile development process here,” said Harry Foster, chief verification scientist at Mentor, a Siemens business. “[SystemVerilog] took Verilog and augmented it with new object-oriented constructs, which facilitated modern code that has to be integrated and developed into the testbench. Prior to that, we created tests—and still do—out of C, C++, and SystemC.”

    To understand why, it helps to keep the key constructs of Agile development in mind:

    • Individuals and interactions over processes and tools.
    • Working software over comprehensive documentation.
    • Customer collaboration over contract negotiation.
    • Responding to change over following a plan.

    Challenges
    Still, this isn’t always so easy.

    “While the hardware community has an increasing interest in Agile methodologies, fueled by its success in software projects, it is clear that Agile methodologies cannot be applied to hardware projects without some adaptation,” said Marchese. “Furthermore, companies aiming to fully embrace Agile need to change their engineering culture, which is a gradual and complex process.”

    There are other challenges, as well. Meilling said there needs to be a safety net in place, which is the continuous integration piece. In addition, it’s important not to lose sight of the roadmap for a design.

    “That is one of the real risks with Agile,” Melling said. “You get into the day-to-day operational practice of saying, ‘We need to do this, we need to do that,’ and it’s very, very important that the top-level context not be lost. The team should say, ‘Wait a minute, we’re creating this product, the use features all have to be there.’ Don’t fall into the trap of having lost sight of the objective and redouble your efforts. And the verification plan needs to tie back to requirements traceability. Those are the kinds of things that can keep you grounded on the roadmap front.”

    Another enabling component to make continuous integration work is the Portable Stimulus, Schirrmeister said. “The notion of verification reuse is huge in this context, because you can’t just start rewriting the same tests in a new environment once you are another three months through the project flow. The amount of verification reuse is certainly something that will be even more critical going forward, which enables this agility to be able to re-use the verification tests from before, refine them and enhance them.”

    Reply
  22. Tomi Engdahl says:

    Solder-wick trick characterizes bypass caps
    https://www.edn.com/electronics-blogs/signal-integrity-collection/4460638/Solder-wick-trick-characterizes-bypass-caps?utm_source=newsletter&utm_campaign=ad&utm_medium=EDNPCBDesign-20181224

    Bypass capacitors are used in large numbers in power distribution networks. Most vendors today supply not only typical characteristics, but also various simulation models. Nevertheless, doing our own characterization of these components is still useful and often necessary. In this short article, I’ll show you how to create simple home-made fixtures for these measurements.

    For bypass capacitors, measuring impedance over a reasonably wide frequency range is the way to go. This gives us the small-signal equivalent behavior of the part. By post-processing the complex impedance, we can obtain the capacitance, effective series inductance (ESL), and effective series resistance (ESR) as functions of frequency.

    Reply
  23. Tomi Engdahl says:

    Interest Grows In Ferroelectric Devices
    https://semiengineering.com/interest-grows-in-ferroelectric-devices/

    Ferroelectric FETs and memories are beginning to show promise as researchers begin developing and testing next-generation transistors.

    Reply
  24. Tomi Engdahl says:

    Global Semiconductor Sales Up 9.8 Percent Year-to-Year in November
    Monday, Dec 31, 2018, 4:30pm
    https://www.semiconductors.org/global-semiconductor-sales-up-9-8-percent-year-to-year-in-november/

    WASHINGTON—Dec. 31, 2018—The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, today announced worldwide sales of semiconductors reached $41.4 billion for the month of November 2018, an increase of 9.8 percent from the November 2017 total of $37.7 billion and 1.1 percent less than the October 2018 total of $41.8 billion. Monthly sales are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

    Reply
  25. Tomi Engdahl says:

    Path to Systems: SiP—Leveraging Mass Production on a Small Scale
    https://www.electronicdesign.com/industrial-automation/path-systems-sip-leveraging-mass-production-small-scale?NL=ED-005&Issue=ED-005_20190102_ED-005_368&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=22383&utm_medium=email&elq2=2d2341191e684f22b1d2d3752ce6d1ef

    Third in a series, this article provides insights into how the design and manufacturing process of system-in-package technology will extend Moore’s vision, creating Moore’s law 2.0.

    System-in-package (SiP) technology is at the beginning of its revolution. Some see the SiP in the same way as a system on chip (SoC)—as an IC component with no simple path to further customization. Also, the economics of scale relegate the device to a high-volume manufacturing process in addition to the long design cycle time. Which isn’t an issue if you, as a systems engineer, need millions of devices per year. However, new innovators and entrepreneurs are left out.

    So, is there a way that systems designers can take advantage of the SiP technology to further integrate without the need for a high-volume application? Apparently so, as capabilities loom on the horizon that allow for more integration with less volume using SiP.

    Ideally, all active components in the SiP are in die form, but they can also be packaged parts. The manufacturing process might vary based on the integration techniques and the target size/specification to be achieve

    The SMD components of the SiP are attached to a substrate. This substrate is a printed circuit board built with micron-level design rules that allow for a miniaturized circuit board, tight integration, and better bandwidth and power performance. After the assembly goes through a reflow, it’s pre-baked for die attach. After the die attach has cured, the dies are then attached and wire bonding is performed. This assembly is baked yet again and molded with a preselected mold compound that’s suitable for the system. After the mold compound is set, the package goes through solder-ball attach and dry bake. Several quality checkpoints are embedded in the process to enable process specific fault detection.

    Reply
  26. Tomi Engdahl says:

    Samsung mulls slowing expansion of chip facilities in 2019
    http://www.koreaherald.com/view.php?ud=20181225000132

    Samsung Electronics, the world’s No. 1 memory chip provider, is considering slowing the pace of expanding its production facilities next year amid negative forecasts about growth of the global semiconductor market, according to the industry on Tuesday.

    At a biannual meeting on the tech titan’s global business strategies held last week, the device solutions division’s main agenda was how to cope with the expected oversupply of memory chips next year, as demand is widely forecast to go downhill, with the market facing a stall in the “super cycle” driven by an emerging data economy.

    “Adjusting the pace of increasing production capacities for DRAM and NAND flash chips was discussed at the meeting presided over by Vice Chairman Kim Ki-nam and heads of the chipmaker’s overseas branches,” an industry source said.

    “Due to decreased demand for the period between the fourth quarter of 2018 and the first quarter of 2019, memory prices — especially DRAM prices — are estimated to drop by around 15 percent,”

    Reply
  27. Tomi Engdahl says:

    Fab Equipment Challenges For 2019
    Logic is strong, memory is weak, and uncertainty in China could affect demand.
    https://semiengineering.com/fab-equipment-challenges-for-2019/

    Reply
  28. Tomi Engdahl says:

    December Startup Funding: Big Rounds As 2018 Ends
    Mobility pulls in half of the $100M-plus funding rounds.
    https://semiengineering.com/december-startup-funding-big-rounds-as-2018-ends/

    Reply
  29. Tomi Engdahl says:

    Top Stories from 2018
    https://semiengineering.com/top-stories-from-2018/

    From estimating 3nm’s issues to accurately predicting the death of chips, the top stories 2018 were not just about AI and the self-driving car.

    Reply
  30. Tomi Engdahl says:

    What’s the Right Path For Scaling?
    https://semiengineering.com/whats-the-right-path-for-scaling/

    New architectures, packaging approaches gain ground as costs increase, but shrinking features continues to play a role.

    Reply
  31. Tomi Engdahl says:

    Top Tech Talks Of 2018
    https://semiengineering.com/what-engineers-watched-in-2018/

    Which videos had the most traffic and why.

    Reply
  32. Tomi Engdahl says:

    Current sensor minimizes power loss
    https://www.edn.com/electronics-products/other/4461420/Current-sensor-minimizes-power-loss?utm_source=Aspencore&utm_medium=EDN&utm_campaign=social

    The BM14270MUV-LB current sensor from Rohm employs a high-sensitivity, low-current magneto-impedance (MI) element that allows completely contactless current detection and minimal power loss

    The sensor consumes just 0.07 mA, as much as 100X less than conventional products, according to the manufacturer. A magnetic-field cancellation function protects against noise, eliminating the need for shielding, while digital output from an integrated 14-bit ADC reduces MCU load. The part also has an I2C

    BM14270MUV-LB current sensor provides a magnetic measurable range of ±280 µT typical. Magnetic sensitivity is 0.045 µT/LSB typical.

    Reply
  33. Tomi Engdahl says:

    WeEnBridge – an efficient bipolar rectifier bridge alternative
    https://www.eetimes.com/document.asp?doc_id=1334123

    Conventional mains rectifier bridges are widely used in the AC to DC conversion section of many (switch-mode) power supply units. The diode bridge – also known as Graetz bridge – normally operates at a voltage between 90 and 240 V(RMS), while the AC frequency is 50 or 60 Hz. Commonly standard (low speed) silicon bipolar diodes are used in a traditional rectifier bridge.

    Active Mains Rectifier Bridge
    The power loss in a mains rectifier bridge can be reduced by using alternative rectifying elements instead of common bipolar diodes. If, for example, the diodes would be replaced by MOSFETs, the voltage drop per rectifying element can be much lower than the typical 0.8V that would apply to a silicon bipolar diode.

    Reply
  34. Tomi Engdahl says:

    Step-Down dc-dc Converter Eliminates Ferrite Cores at 50kHz Enabling Power Supply on Chip with One-Cycle Transient
    https://www.powerelectronics.com/power-management/step-down-dc-dc-converter-eliminates-ferrite-cores-50khz-enabling-power-supply-chip?PK=UM_Classics01119&elqTrackId=c5006c1926f8420e989a56d05eb848e9&elq=187634c0b4af4251a828e42f74312b24&elqaid=22439&elqat=1&elqCampaignId=19250&utm_rid=CPG05000002750211&utm_campaign=22439&utm_medium=email&elq2=187634c0b4af4251a828e42f74312b24

    Virtually all present-day DC-DC converters store DC energy in magnetic devices with ferrite cores, such as inductors with DC bias. A new topology for non-isolated step-down dc-dc converters discards ferrite cores completely. The new Resonance Scaling Method results in use of 10nH resonant inductors even at 50kHz switching frequency and an effective factor of 1,000 times reduction of the magnetic size and weight of comparable buck converter at 50kHz.This opens a new power electronics era with the first true Power-Supply-on-a-Chip.

    The groundbreaking PWM-Resonant Ćuk topology could revolutionize the design of non-isolated, step-down dc-dc converters. This new topology provides much higher-efficiency, fast-transient response settling in one cycle, with much smaller size and lower weight than its ferrite core cousins. This converter is ideal for 12V to 1V applications for supplying microprocessors, as it replaces four to eight modules of a multiphase buck converter with a single converter.

    Reply
  35. Tomi Engdahl says:

    Startup Debuts 2.5D Design Service
    zGlue launches open beta of online tool
    https://www.eetimes.com/document.asp?doc_id=1334145

    Reply
  36. Tomi Engdahl says:

    Semis Hope for Soft Landing
    Chip sales to slow, maybe contract in 2019
    https://www.eetimes.com/document.asp?doc_id=1334154

    The semiconductor industry is expected to slow down and maybe even contract slightly this year, but analysts are hopeful of a soft landing once the sector navigates the bumps of a few big uncertainties ahead.

    Gartner forecasts chip revenue growth will slow to 2.6% this year. It expects a bounce back to 8.1% growth in 2020, followed by a 1.8% contraction in 2021 for an average of 5.6% growth in 2017-2022.

    Reply
  37. Tomi Engdahl says:

    MIPI I3C Basic V1.0, a subset of MIPI I3C, bundles the most commonly needed features of I3C for developers and standards organizations. MIPI I3C Basic V1.0, a subset of the MIPI Alliance’s MIPI I3C interface specification, bundles the most commonly used features of I3C

    MIPI Alliance Crafts Basic I3C Specification
    https://www.eeweb.com/profile/eeweb/news/mipi-alliance-crafts-basic-i3c-specification

    MIPI I3C Basic V1.0, a subset of MIPI I3C, bundles the most commonly needed features of I3C for developers and standards organizations.

    MIPI I3C Basic V1.0, a subset of the MIPI Alliance’s MIPI I3C interface specification, bundles the most commonly used features of I3C for developers and standards organizations. Released under royalty-free terms, MIPI I3C Basic provides a streamlined upgrade path from I2C, the de facto standard for connecting processors, sensors, and other devices in mobile, IoT, and automotive system designs.

    In addition to backward compatibility with I2C, MIPI I3C Basic V1.0 offers 20 key features from MIPI I3C, including a multidrop bus that, at 12.5 MHz, is over 12 times faster than I2C with lower power consumption. In-band interrupts allow slaves to notify masters of interrupts, a design that eliminates the need for separate GPIO for each slave, while dynamic address assignment avoids conflicting static addresses.

    Reply
  38. Tomi Engdahl says:

    Samsung Warns of Weak Chip Demand
    https://www.eetimes.com/document.asp?doc_id=1334161

    South Korea’s Samsung Electronics warned of weak semiconductor demand as it cut its fourth quarter profit outlook significantly.

    Reply
  39. Tomi Engdahl says:

    Washington, Wall Street Squeeze Semis
    Trade group aims to launch think tanks, investment funds
    https://www.eetimes.com/document.asp?doc_id=1334166

    Reply
  40. Tomi Engdahl says:

    Global MLCC Shortage: 5 Perspectives to tackle this massive electronics dilemma
    https://event.webcasts.com/starthere.jsp?ei=1226062&tp_key=b82d1ea96c&oc_slh=fd9accd7223eb5a40eb5f40cba7a63049b37aac56719e4269ce2d3f710e08cfa

    Multi-layer Ceramic Capacitors or MLCC are the building blocks of all electronic components. The global shortage of raw materials for MLCC has created a crisis in the electronics industry. Manufacturers no longer are accepting new purchase orders from customers and in some cases even cancelling some existing orders.

    Reply

Leave a Comment

Your email address will not be published. Required fields are marked *

*

*