Electronics trends for 2018

Here are some of my collection of newest trends and predictions for year 2018. I have not invented those ideas what will happen next year completely myself. I have gone through many articles that have given predictions for year 2018. Then I have picked and mixed here the best part from those articles (sources listed on the end of posting) with some of my own additions to make this posting.This article contains very many quotations from those source articles (hopefully all acknowledged with link to source).

The general trend in electronics industry is that the industry growth have been driven by mobile industry. Silicon content in smartphones and other mobile devices is increasing as vendors add greater functionality. Layering on top of that are several emerging trends such as IoT, big data, AI and smart vehicles that are creating demand for greater computing power and expanding storage capacity.

 

Manufacturing trends

According to Foundry Challenges in 2018 article the silicon foundry business is expected to see steady growth in 2018. The growth in semiconductor manufacturing will remain steady, but there will be challenges in the manufacturing capacity and  expenses to move to the next nodes. For most applications, unless you must have highest levels of performance, there may not be as compelling a business case to focus on the bleeding-edge nodes. Over the last two years, the IC industry has experienced an acute shortage of 200mm fab capacity (legacy MCU, power, sensors, 6-micron to 65nm). In 2018, 200mm capacity will remain tight. An explosion in 200mm demand has set off a frenzied search for used semiconductor manufacturing equipment that can be used at older process nodes. The problem is there is not enough used equipment available. The profit margins in manufacturing are so thin in markets served by those fabs that it’s hard to justify paying current rising equipment prices, and newcomers may have a tough time making inroads. Foundries with fully depreciated 200mm equipment and capacity already are seeing increased revenues in their 200mm business.The specialty foundry business is undergoing a renaissance, thanks to the emergence of 5G and automotive.

300mm is expected to follow a similar path for lack of capacity because 300mm fabs already produce leading-edge chips and more mainstream 300mm demand is driven by MCUs, wireless communications and storage applications. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm

In 2017, marking the first time that the semiconductor equipment market has exceeded the previous market high of US$47.7 billion set in 2000. Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAM. In 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017. In 2018, 7.5 percent growth is expected to result in sales of US$60.1 billion for the global semiconductor equipment market – another record-breaking year. Demand looks solid across the three main growth drivers for fab tool vendors—DRAM, NAND and foundry/logic.
Rising demand for chips is hitting the IC packaging supply chain, causing shortages of select manufacturing capacity, various package types, leadframes and even some equipment. Spot shortages for some IC packages began showing up in 2017, but the problem has been growing and spreading since then, so  packaging customers may encounter select shortages well into 2018Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018.

Market for advanced packaging begins to diverge based on performance and price. Advanced Packaging is now viewed as the best way to handle large amounts of data at blazing speeds.

Moore’s law

Many recent publications say Moore’s Law is dead. Though Moore’s Law is dead may be experiencing some health challenges, it’s not time to start digging the grave for the semiconductor and electronics market yet

Even smaller nodes are still being taken to use in high end chips. The node names are confusing. Intel’s 10nm technology is roughly equivalent to the foundry 7nm node.In 2018, Intel is expected to finally ramp up 10nm finally in the first half of 2018. In addition, GlobalFoundries, Samsung and TSMC will begin to ship their respective 7nm finFET processes. On the leading edge, GlobalFoundries, Intel, Samsung and TSMC start migrating from the 16nm/14nm to the 10nm/7nm logic nodes. It is expected that some chip-makers face some challenges on the road. Time will tell if GlobalFoundries, Samsung and TSMC will struggle at 7nm. Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm. 7nm is projected to generate sales from $2.5 billion to $3.0 billion in 2018. Over time 10nm/7nm is expected to be a big and long-running node. Suppliers of FPGAs and processors are expected to jump on 10nm/7nm.

South Korea’s Samsung Electronics said it has commenced production of the second generation of its 10nm-class 8-Gb DDR4 DRAM. Devices labeled 10nm-class have feature sizes as small as 10 to 19 nanometers. With the continued need for shrinking pattern dimensions, semiconductor manufacturers continue to implement more complex patterning techniques, such as advanced multi-patterning, for the 10nm design node and beyond. They also are investing significant development effort in readying EUV lithography for production at the 7/5nm design nodesSamsung is planning to begin transitioning to EUV for logic chips next year at the 7nm node, although it is unclear when the technology will be put into production for DRAM.

There will be talk on even smaller nodes. FinFETs will get extended to at least to 5nm, and possibly 3nm in next 5 years. The path to 5nm loks pretty clear. FinFETs will get extended at least to 5nm. It’s possible they will get extended to 3nm. EUV will be used at new nodes, followed by High NA Lithography. New smaller nodes challenges the chip design as abstractions become more difficult at 7nm and beyond. Models are becoming more difficult to develop, integrate and utilize effectively at 10/7nm and beyond as design complexity, process variation and physical effects add to the number of variables that need to be taken into account. Materials and basic structures may diverge by supplier, at 7 nm and beyond. Engineering and scientific teams at 3nm and beyond will require completely different mixes of skills than today.

Silicon is still going strong, but the hard fact is that CMOS has been running out of steam for several nodes, and that becomes more obvious at each new node. To extend into new markets and new process nodes Chipmakers Look To New Materials. There are a number of compounds in use already (generally are being confined to specific niche applications), such as gallium arsenide, gallium nitride, and silicon carbide. Silicon will be supplemented by 2D materials to extend Moore’s Law. Transition metal dichalcogenides (TMDCs), a class of 2D materials derived from basic elements—principally tellurium, selenium, sulfur, and oxygen—are being widely explored by researchers. TMDCs are functioning as semiconductors in conjunction with graphene. Graphene, the wonder material rediscovered in 2004, and a host of other two-dimensional materials are gaining ground in manufacturing semiconductors as silicon’s usefulness begins to fade. Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. Future progress increasingly will require a mix of different materials and disciplines, but silicon will remain a key component.

Interconnect Materials need to to be improved. For decades, aluminum interconnects were the industry standard. In the late 1990s, chipmakers switched to copper. Over the years, transistors have decreased dramatically in size, so interconnects also have had to scale in size leading to roadblock known as the RC challenge. Industry is investing significant effort in developing new approaches to extend copper use and finding new metals. There’s also some investigation into improvements on the dielectric side. The era of all-silicon substrates and copper wires may be coming to an end.

Application markets

Wearables are a question mark. Demand for wearables slowed down in 2017 so much that smart speakers likely outsold wearable devices in 2017 holiday season.  eMarketer is estimating that usage of wearable will grow just 11.9 percent in 2018, rising from 44.7 million adult wearable users in 2017 to 50.1 million in 2018. On the other hand market research firm IDC estimates that the shipments of wearable electronics devices are projected to more than double over the next five years as watches displace fitness trackers as the biggest sellers. IDC forecasts that wearables shipments will increase at a compound annual growth rate of 18.4 percent between 2017 and 2021, rising from 113.2 million this year to 222.3 million in 2021. At the same time fitness trackers are expected to become commodity product. Tomorrow’s wearables will become more fully featured and multi-functional.

The automotive market for semiconductors is shifting into high gear in 2018. Right now the average car has about $350 worth of semiconductor content, but that is projected to grow another 50% by 2023 as the overall automotive market for semiconductors grows from $35 billion to $54 billion. The explosion of drive-by-wire technology, combined with government mandates toward fully electric powertrains, has changed this paradigm—and it impacts more than just the automotive industry. Consider implications beyond the increasingly complex vehicle itself, including new demands on supporting infrastructure. The average car today contains up to 100 million lines of code. Self-driving car will have considerably more code in it. Software controls everything from safety critical systems like brakes and power steering, to basic vehicle controls like doors and windows. Meeting ISO 26262 Software Standards is needed but it will not make the code bug free. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC. The shift to autonomous vehicles marks a major shift in the supply chain—and a major opportunity.

Many applications have need for a long service life — for example those deployed within industrial, scientific and military industries. In these applications, the service life may exceed that of component availability. Replacing an advanced, obsolete components in a design can be very costly, potentially requiring an entire redesign of the electronic hardware and software. The use of programmable devices helps designers not only to address component obsolescence, but also to reduce the cost and complexity of the solution. Programmable logic devices are provided in a range of devices of different types, capabilities and sizes, from FPGAs to System on Chips (SoC) and Complex Programmable Logic Devices (CPLD). The obsolete function can be emulated within the device, whether it is a logic function implemented in programmable logic in a CPLD, FPGA or SoC, or a processor system implemented in an FPGA or SoC.

Become familiar with USB type C connector. USB type C connector is becoming quickly more commonplace than any other earlier interface. In the end of 2016 there were 300 million devices using a USBC connection – a big part was smartphones, but the interface was also widespread on laptops. With growth, the USBC becomes soon the most common PC and peripheral interface. Thunderbolt™ 3 on USBC connector promises to fulfill the promise of USB-C for single-cable docking and so much more.

 

Power electronics

The power electronics market continues to grow and gain more presence across a variety of markets2017 was a good year for electric vehicles and the future of this market looks very promising. In 2017, we saw also how wireless charging technology has been adopted by many consumer electronic devices- including Apple smart phones. Today’s power supplies do more than deliver clean and stable dc power on daily basis—they provide advanced capabilities that can save you time and money.

Wide-bandgap semiconductor materials like gallium nitride (GaN) and silicon carbide (SiC) are anticipated to be used in many more applications in 2018. At the moment, the number of applications for those materials is steadily increasing in the automotive and military industry. Expect to see more adoption of SiC and GaN materials in automotive market.

According to Battery Market Goes Bigger and Better in 2018 article advances in battery technologies hold the keys to continuing progress in portable electronics, robotics, military, and telecommunication applications, as well as distributed power grids. It is difficult to see lithium-ion based batteries being replaced anytime soon, so the advances in battery technology are primarily through the application of lithium-ion battery chemistries. New battery protection for portable electronics cuts manufacturing steps and costs for Lithium-ion.

Transparency Market Research analysts predict that the global lithium-ion battery market is poised to rise from $29.67 billion in 2015 to $77.42 billion in 2024 with a compound annual growth rate of 11.6 %. That growth has already spread from the now ubiquitous consumer electronics segment to automotive, grid energy, and industrial applications. Dramatic increase is expected for battery power for the transportation, consumer electronic, and stationary segments. According to Bloomberg New Energy Finance (BNEF), the global energy-storage market will double six times between 2016 and 2030, rising to a total of 125 G/305 gigawatt-hours. In 2018, energy-storage systems will continue proliferating to provide backup power to the electric grid.

Memory

Memory business boomed in 2017 for both NAND and DRAM. The drivers for DRAM are smartphones and servers. Solid-state drives (SSDs) and smartphones are fueling the demand for NAND.  Both the DRAM and NAND content in smartphones continues to grow, so memory business will do well in 2018.Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in 3D NAND and, to a lesser degree, DRAMIn 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017.

NAND Market Expected to Cool in Q1 from the crazy year 2017, but it is still growing well because there is increasing demand. The average NAND content in smartphones has been growing by roughly 50% recently, going from approximately 24 gigabytes in 2016 to approximately 38 gigabytes today.3D NAND will do the heavy memory lifting that smartphone users demand. Contract prices for NAND flash memory chips are expected to decline in during the first quarter of 2018 as a traditional lull in demand following the year-end quarter.

Lots of 3D NAND will go to solid state drives in 2018. IDC forecasts strong growth for the solid-state drive (SSD) industry as it transitions to 3D NAND.  SSD industry revenue is expected to reach $33.6 billion in 2021, growing at a CAGR of 14.8%. Sizes of memory chips increase as number of  layer in 3D NAND are added. We’ve already scaled up to 48 layers. Does this just keep scaling up, or are there physical limits here? Maybe we could see a path to 256 layers in few years.

Memory — particular DRAM — was largely considered a commodity business. Though that it’s really not true in 2017. DRAM memory marked had boomed in 2017 at the highest rate of expansion in 23 years, according to IC Insights. Skyrocketing prices drove the DRAM market to generate a record $72 billion in revenue, and it drove total revenue for the IC market up 22%. Though the outlook for the immediate future appears strong, a downturn in DRAM more than likely looms in the not-too-distant future. It will be seen when there are new players on the market. It is a largely unchallenged assertion that Chinese firms will in the not so distant future become a force in semiconductor memory market. Chinese government is committed to pumping more than $160 billion into the industry over a decade, with much of that ticketed for memory startups.

There is search for faster memory because modern computers, especially data-center servers that skew heavily toward in-memory databases, data-intensive analytics, and increasingly toward machine-learning and deep-neural-network training functions, depend on large amounts of high-speed, high capacity memory to keep the wheels turning. The memory speed has not increased as fast as the capacity. The access bandwidth of DRAM-based computer memory has improved by a factor of 20x over the past two decades. Capacity increased 128x during the same period. For year 2018 DRAM remains a near-universal choice when performance is the priority. There has been some attempts to very fast memory interfaces. Intel the company has introduced the market’s first FPGA chip with integrated high-speed EMBED (Embedded Multi-Die Interconnect Bridge): The Stratix 10 MX interfaces to HMB2 memory (High Memory Bandwidth) that offers about 10 times faster speed than standard DDR-type DIMM.

There is search going on for a viable replacement for DRAM. Whether it’s STT-RAM or phase-change memory or resistive RAM, none of them can match the speed or endurance of DRAM. Necessity is the mother of invention, and we see at least two more generations after 1x. XPoint is also coming up as another viable memory solution that could be inserted into the current memory architecture. It will be interesting to see how that plays out versus DRAM.

5G and IoT

5G something in it for everyone. 5G is big.  5G New Radio (NR) wireless technology will ultimately impact everyone in the electronics and telecommunications industries. Most estimates say 2020 is when we will ultimately see some real 5G deployments on a scale. In the meantime, companies are firming up their plans for whatever 5G products and services they will offer. Though test and measurement solutions will be key in the commercialization cycle. 5G is set to disrupt test processes. If 5G takes off, the technology will propel the development of new chips in both the infrastructure and the handset. Data centers require specialty semiconductors from power management to high-speed optical fiber front-ends. 5G systems will drive more complexity in RF front-ends .5G will offer increased capacity and decreased latency for some critical applications such as vehicle-to-vehicle (V2V) or vehicle-to-infrastructure (V2I) communications for advanced driver assistance systems (ADAS) and self-driving vehicles. The big question is whether 5G will disrupt the landscape or fall short of its promises.

Electronics manufacturers expect a lot from Internet of Thing. The evolution of intelligent electronic sensors is creating a revolution for IoT and Industrial IoT as companies bring new sensor-based, intelligent systems to market. The business promise is that the proliferation of smart and connected “things” in the Industrial Internet of Things (IIoT) provides tremendous opportunities for increased performance and lower costs. Industrial Internet of Things (IIoT) has a market forecast approaching $100 billion by 2020. Turning volumes of factory data into actionable information that has value is essential. Predictive maintenance and asset tracking are two big IoT markets to watch in 2018 because they will provide real efficiencies and improved safety. It will be about instrumenting our existing infrastructures with sensors that improve their reliability and help predict failures. It will be about tracking important assets through their lifecycles.

A new breed of designers has arrived that is leveraging inexpensive sensors to build the intelligent systems at the edge of the Internet of Things (IoT). They work in small teams, collaborate online, and they expect affordable design tools that are easy to use in order to quickly produce results. Their goal is to deliver a functioning device or a proof-of-concept to their stakeholders while spending as little money as possible to get there. We need to become multi-functional engineers who can comfortably work in the digital, RF, and system domains.

The Io edge sensor  device usually needs to be cheap. Simple mathematical reasoning suggests that the average production cost per node must be small, otherwise the economics of the IoT simply are not viable. Most suppliers to the electronics industry are today working under the assumption that the bill-of-materials (BoM) cost of a node cannot exceed $5 on average. While the sensor market continues to garner billions of dollars, the average selling price of a MEMS sensor, for example, is only 60 cents.

Designing a well working and secure IoT system is still hard. IoT platforms are very complex distributed systems and managing these distributed systems is often an overlooked challenge. When designing for the IoT, security needs to be addressed from the Cloud down to each and every edge device. Protecting data is both a hardware and a software requirement, as more data is being stored and analyzed in edge devices and gateways.

The continued evolution of powerful embedded processors is enabling more functionality to be consolidated into single heterogeneous multicore devices. You will see more mixed criticality designs – those designs which contain both safety-critical and non-safety critical processes running on the same chip. It’s quickly becoming common practice for embedded system developers to isolate both safety and security features on the same SoC.

AI

There is clearly a lot of hype surrounding machine learning (ML) and artificial intelligence (AI) fields. Over the past few years, machine learning (ML) has evolved from an interesting new approach that allows computers to beat champions at chess and Go, into one that is touted as a panacea for almost everything. Machine learning already has delivered beneficial results in certain niches, but it has potential for a bigger and longer lasting impact because of the demand for broad insights and efficiencies across industries. Also EDA companies have been investing in this technology and some results are expected to be announced.

The Battle of AI Processors Begins in 2018. Machine learning applications have a voracious appetite for compute cycles, consuming as much compute power as they can possibly scrounge up. As a result, they are invariably run on parallel hardware – often parallel heterogeneous hardware—which creates development challenges of its own. 2018 will be the start of what could be a longstanding battle between chipmakers to determine who creates the hardware that artificial intelligence lives on. Main contenders on the field at the moment are CPUs, GPUs, TPUs (tensor processing units), and FPGAs. Analysts at both Research and Markets and TechNavio have predicted the global AI chip market to grow at a compound annual growth rate of about 54% between 2017 and 2021.

 

Sources:

Battery Market Goes Bigger and Better in 2018

Foundry Challenges in 2018

Smart speakers to outsell wearables during U.S. holidays, as demand for wearables slows

Wearables Shipments Expected to Double by 2021

The Week In Review: Manufacturing #186

Making 5G Happen

Five technology trends for 2018

NI Trend Watch 2018 explores trends driving the future faster

Creating Software Separation for Mixed Criticality Systems

Isolating Safety and Security Features on the Xilinx UltraScale+ MPSoC

Meeting ISO 26262 Software Standards

DRAM Growth Projected to be Highest Since ’94

NAND Market Expected to Cool in Q1

Memory Market Forecast 2018 … with Jim Handy

Pushing DRAM’s Limits

3D NAND Storage Fuels New Age of Smartphone Apps

$55.9 Billion Semiconductor Equipment Forecast – New Record with Korea at Top

Advanced Packaging Is Suddenly Very Cool

Fan-Outs vs. TSVs

Shortages Hit Packaging Biz

Apple Watch 3 shipment growth to benefit Taiwan IC packagers in 2018

Rapid SoC Proof-of-Concept for Zero Cost

EDA Challenges Machine Learning

What Can You Expect from the New Generation of Power Supplies?

Optimizing Machine Learning Applications for Parallel Hardware

FPGA-dataa 10 kertaa nopeammin

The 200mm Equipment Scramble

Chipmakers Look To New Materials

The Trouble With Models

What the Experts Think: Delivering the next 5 years of semiconductor technology

Programmable Logic Holds the Key to Addressing Device Obsolescence

The Battle of AI Processors Begins in 2018

For China’s Memory Firms, Legal Tests May Loom

Predictions for the New Year in Analog & Power Electronics

Lithium-ion Overcomes Limitations

Will Fab Tool Boom Cycle Last?

The Next 5 Years Of Chip Technology

Chipmakers Look To New Materials

Silicon’s Long Game

Process Window Discovery And Control

Toward Self-Driving Cars

Sensors are Fundamental to New Intelligent Systems

Industrial IoT (IIoT) – Where is Silicon Valley

Internet of things (IoT) design considerations for embedded connected devices

How efficient memory solutions can help designers of IoT nodes meet tight BoM cost targets

What You Need to Become a Multi-Functional Engineer

IoT Markets to Watch in 2018

USBC yleistyy nopeasti

1,325 Comments

  1. Tomi Engdahl says:

    U.S. Commerce Dept. finds ZTE violated export disciplinary agreement, bans U.S. component supply
    http://www.lightwaveonline.com/articles/2018/04/u-s-commerce-dept-finds-zte-violated-export-disciplinary-agreement-bans-u-s-component-supply.html?cmpid=enl_lightwave_lightwave_service_providers_2018-04-16&pwhid=6b9badc08db25d04d04ee00b499089ffc280910702f8ef99951bdbdad3175f54dcae8b7ad9fa2c1f5697ffa19d05535df56b8dc1e6f75b7b6f6f8c7461ce0b24&eid=289644432&bid=2069243

    The U.S. Department of Commerce announced today that it would ban U.S. companies from providing components to ZTE because the Chinese company failed to comply with the terms of a disciplinary agreement reached in March 2017 arising from U.S. export law violations. The ban, slated to last seven years, also prevents others from reselling U.S. components to ZTE. The action has a direct effect on optical component and subsystems vendors who had ZTE on their customer rosters.

    In March 2016, the Department of Commerce slapped export restrictions on U.S. technology to ZTE under suspicion that the Chinese communications systems house had sold equipment to Iran and North Korea containing U.S. technology between January 2010 and early 2016 (later amended to April 2016).

    Reply
  2. Tomi Engdahl says:

    Photonic communication comes to computer chips
    https://news.mit.edu/2018/startup-ayar-labs-optoelectronic-computer-chips-0406

    Startup’s optoelectronic chips could reduce energy usage by up to 50 percent in data centers while increasing computing speeds.

    Backed by years of research at MIT and elsewhere, Ayar has developed chips that move data around with light but compute electronically. The unique design integrates speedy, efficient optical communications — with components that transmit data using light waves — into traditional computer chips, replacing less efficient copper wires.

    According to the startup, the chips can reduce energy usage by about 95 percent in chip-to-chip communications and increase bandwidth tenfold over their copper-based counterparts. In massive data centers — Ayar’s first target application — run by tech giants such as Facebook and Amazon, the chips could cut total energy usage by 30 to 50 percent, says CEO Alex Wright-Gladstein MBA ’15.

    “Right now there’s a bandwidth bottleneck in big data centers,” says Wright-Gladstein, who co-founded Ayar with Chen Sun PhD ’15 and Mark Wade, a University of Colorado graduate and former MIT researcher. “That’s an exciting application and the first place that really needs this technology.”

    Ayar’s core technology — now backed by more than 25 academic papers — is a decade in the making.

    The idea was to help data transmission keep up with Moore’s Law. The number of transistors on a chip may double every two years, Wright-Gladstein says, “but the amount of data we push across those copper pins hasn’t grown at the same rate.”

    The idea was to help data transmission keep up with Moore’s Law. The number of transistors on a chip may double every two years, Wright-Gladstein says, “but the amount of data we push across those copper pins hasn’t grown at the same rate.”

    One solution is light. An optical wire can transmit multiple data signals on different wavelengths of light, while copper wires are limited to one signal per wire. Optical chips can, therefore, transmit more information using significantly less space. Moreover, photonics produce very little waste heat.

    To avoid making changes to the CMOS process, the researchers focused on a new class of miniaturized optical components, including photodetectors, light modulators, waveguides, and optical filters that encode data on different wavelengths of light, and then transmit and decode it. They essentially “hacked” the traditional method for silicon chip design, using layers intended for electronics to build optical devices, and enabling chip designs to include optics more tightly configured than ever inside a chip’s structure.

    Reply
  3. Tomi Engdahl says:

    Could holey silicon be the holy grail of electronics?
    https://phys.org/news/2018-04-holey-silicon-holy-grail-electronics.html

    Electronics miniaturization has put high-powered computing capability into the hands of ordinary people, but the ongoing downsizing of integrated circuits is challenging engineers to come up with new ways to thwart component overheating.

    Scientists at the University of California, Irvine made a breakthrough recently in verifying a new material configuration to facilitate cooling. In a study in the journal Nanotechnology, members of UCI’s Nano Thermal Energy Research Group highlight the attributes of holey silicon, a computer chip wafer with tiny, vertically etched orifices that work to shuttle heat to desired locations.

    “We found that heat prefers to travel vertically through but not laterally across holey silicon, which means the material can effectively move the heat from local hot spots to on-chip cooling systems in the vertical direction while sustaining the necessary temperature gradient for thermoelectric junctions in the lateral direction,” said corresponding author Jaeho Lee, UCI assistant professor of mechanical & aerospace engineering.

    Reply
  4. Tomi Engdahl says:

    The Concentration of Semiconductor Market Share
    https://www.eetimes.com/author.asp?section_id=40&doc_id=1333179

    The top five chipmakers accounted for 43 percent of all semiconductor sales last year, continuing a trend of market share concentration that began at least a decade ago. What’s going on here?

    According to Lineback, the market share concentration is the reversal of a trend that began in the 1980s, when the birth of the fabless-foundry model led to an increase in the number of small- and mid-sized chip firms, and a throwback to the early-industry days of the 1970s, when the top five to 10 chip makers held most of the market because they were large, vertically integrated electronics companies.

    “We believe semiconductor market share became spread over more chip companies after the mid-1980s due to the success of the fabless movement and then about 20 years later we began seeing consolidation and gains by the larger companies because of the high cost of competing in many market segments and the uptick in M&A during the decade,” Lineback said.

    Reply
  5. Tomi Engdahl says:

    China slows review of chip company mergers amid trade tensions: WSJ
    https://www.reuters.com/article/us-qualcomm-m-a-china/china-slows-review-of-chip-company-mergers-amid-trade-tensions-wsj-idUSKBN1HL0YU

    Two multi-billion dollar takeovers of semiconductor makers are being stalled by Chinese regulatory reviews amid rising U.S.-China trade tensions, the Wall Street Journal reported on Saturday, citing people familiar with the matter.

    Reply
  6. Tomi Engdahl says:

    Trade war or not, China is closing the gap on U.S. in technology IP race
    https://www.reuters.com/article/us-usa-trade-china-intellectualproperty/trade-war-or-not-china-is-closing-the-gap-on-u-s-in-technology-ip-race-idUSKBN1HK187

    China’s rising investment in research and expansion of its higher education system mean that it is fast closing the gap with the United States in intellectual property and the struggle to be the No.1 global technology power, according to patent experts.

    Reply
  7. Tomi Engdahl says:

    Get Ready For Integrated Silicon Photonics
    https://semiengineering.com/preparing-for-integrated-silicon-photonics/

    This more than Moore technology is still ramping up, and problems need to be solved, but it could lead to some fundamental changes.

    Reply
  8. Tomi Engdahl says:

    The Active Clamp Flyback Converter: A Design Whose Time Has Come
    http://www.electronicdesign.com/power/active-clamp-flyback-converter-design-whose-time-has-come?NL=ED-003&Issue=ED-003_20180413_ED-003_471&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=16638&utm_medium=email&elq2=c2e5014f0c0c4a53bd85ad7f8e5d58ed

    Though long recognized as a superior option for small power adapters, implementation difficulties have held back the topology’s widespread use. Integrated ACF controllers are now rewriting that script.

    A flyback converter uses relatively few components, is simple to design, and can accommodate multiple outputs. With a silicon power MOSFET, its efficiency can run as high as 90% if synchronous rectification is employed and the switching frequency is kept below 100 kHz to reduce switching losses.

    Reply
  9. Tomi Engdahl says:

    Graphene is Grown With the Same Band Gap as Silicon
    https://spectrum.ieee.org/nanoclast/semiconductors/materials/grapehene-is-grown-with-the-same-band-gap-as-silicon

    No doping or nanostructuring is required for new bottom-up method to grow graphene with a perfect band gap

    For all of graphene’s amazing electronic capabilities, it has not made much of an impact as a replacement for silicon in digital logic applications. This shortcoming is largely due to its lack of an inherent band gap that’s needed in computing applications to start and stop the flow of electrons.

    Reply
  10. Tomi Engdahl says:

    Qualcomm to refile China antitrust application for $44 billion NXP takeover: sources
    https://www.reuters.com/article/us-china-qualcomm-antitrust/qualcomm-to-refile-china-antitrust-application-for-44-billion-nxp-takeover-sources-idUSKBN1HN0RM

    U.S. chipmaker Qualcomm (QCOM.O) will refile as early as Monday an application with the Chinese government to clear its $44 billion takeover of NXP Semiconductors (NXPI.O), sources said, giving regulators more time to decide on the deal and averting a collapse.

    The San Diego-based firm withdrew its earlier antitrust application on Saturday at the request of China’s commerce ministry, just days before the regulator’s April 17 deadline to decide on the transaction expires, the sources said.

    Reply
  11. Tomi Engdahl says:

    After quakes, manufacturers step up business continuity planning
    https://www.japantimes.co.jp/news/2018/04/16/business/quakes-manufacturers-step-business-continuity-planning/#.WtcULn–laQ

    The March 2011 earthquake and tsunami in Tohoku and the 2016 Kumamoto quakes have prompted many domestic manufacturers to draw up plans to ensure business operations continue in the event of natural disasters.

    In January this year, 12 semiconductor-makers including Sony Corp. and Renesas Electronics Corp. — who each suffered major production-related damages during the Kumamoto Prefecture quakes — agreed to sign a pact aimed at mitigating the impact of disasters on their businesses. The firms discussed how they can cooperate to continue operations in the event of disasters, after monthlong delays for some plants to resume operations following the April 2016 earthquakes. They also decided to establish a contact system to facilitate the mutual provision of necessary parts in the wake of disasters.

    Production equipment uses many common components, a semiconductor industry official noted, explaining the significance of the mutual provision system.

    Meanwhile, individual firms are drawing up their own anti-disaster measures as well.

    Reply
  12. Tomi Engdahl says:

    Graphene is Grown With the Same Band Gap as Silicon
    https://spectrum.ieee.org/nanoclast/semiconductors/materials/grapehene-is-grown-with-the-same-band-gap-as-silicon

    For all of graphene’s amazing electronic capabilities, it has not made much of an impact as a replacement for silicon in digital logic applications. This shortcoming is largely due to its lack of an inherent band gap that’s needed in computing applications to start and stop the flow of electrons.

    While methods for engineering a band gap into graphene have been around for years

    Now researchers in Spain have devised an inexpensive way to grow graphene with the same band gap that exists in silicon (1 eV), and in so doing, may have reopened graphene’s potential as an alternative to silicon for digital logic.

    Reply
  13. Tomi Engdahl says:

    Bloomberg:
    Facebook is building a team to design its own AI chips, according to job listings and sources, joining a trend among tech giants to lower reliance on chipmakers

    Facebook Is Forming a Team to Design Its Own Chips
    https://www.bloomberg.com/news/articles/2018-04-18/facebook-is-forming-a-team-to-design-its-own-chips

    Social network could use semiconductors for consumer devices
    Move follows Apple’s chip efforts, early work by Google

    Reply
  14. Tomi Engdahl says:

    Aaron Tilley / The Information:
    Sources: Intel to shut down its New Devices Group, which was formed in 2013 and made fitness trackers and smart glasses — Intel’s long-failing foray into wearables and augmented reality is officially dead. — The chip giant’s new devices group will shut down, according to people familiar with the situation.

    Intel Plans to Shut Down Smart Glasses Group
    https://www.theinformation.com/articles/intel-plans-to-shut-down-smart-glasses-group

    Reply
  15. Tomi Engdahl says:

    Qualcomm Begins Layoffs
    https://www.eetimes.com/document.asp?doc_id=1333198

    Qualcomm has begun laying off an unspecified number of full-time and temporary workers as part of a cost-cutting plan announced in January.

    Reply
  16. Tomi Engdahl says:

    SEMI to Absorb EDA Trade Group
    https://www.eetimes.com/document.asp?doc_id=1333186

    The Electronic System Design Alliance — formerly known as the EDA Consortium — will become part of the SEMI trade group, a development that underscores the blurring of lines within the semiconductor ecosystem from design through manufacturing.

    Under the terms of a deal announced by the two organizations on Monday (April 16), the ESD Alliance will integrate into SEMI as a strategic association partner later this year. All ESD Alliance members will become members of SEMI, increasing the reach of the SEMI trade group and facilitating opportunities for collaboration between EDA firms and those involved in semiconductor equipment and materials, as well as other areas of the electronics design and manufacturing chain.

    “Design and manufacturing are becoming more and more intertwined,” said Bob Smith, executive director of the ESD Alliance, in an interview with EE Times. “The old days of designing a chip and ‘throwing it over the wall’ to someone to make it happen are pretty gone.”

    Reply
  17. Tomi Engdahl says:

    Anne Marie Roantree / Reuters:
    ZTE says US ban on sales to the company is unfair and threatens its survival, vows to safeguard its interests through all legal mean

    China’s ZTE slams U.S. ban on sales, says company’s survival at risk
    https://www.reuters.com/article/us-usa-china-zte/chinas-zte-slams-u-s-ban-on-sales-says-companys-survival-at-risk-idUSKBN1HR085

    China’s ZTE Corp (0763.HK) (000063.SZ) said on Friday that a ban on the sale of parts and software to the company was unfair and threatens its survival, and vowed to safeguard its interests through all legal means.

    Reply
  18. Tomi Engdahl says:

    Microsoft’s Project Silica exploring voxel-based optical data storage
    https://www.laserfocusworld.com/articles/2018/04/microsoft-s-project-silica-exploring-voxel-based-optical-data-storage.html?cmpid=enl_lfw_lfw_enewsletter_2018-04-19&pwhid=6b9badc08db25d04d04ee00b499089ffc280910702f8ef99951bdbdad3175f54dcae8b7ad9fa2c1f5697ffa19d05535df56b8dc1e6f75b7b6f6f8c7461ce0b24&eid=289644432&bid=2073579

    Project Silica is a Microsoft (Redmond, WA) research initiative to store data in quartz glass cubes using laser light. Microsoft is collaborating with the UK’s University of Southampton Optoelectronics Research Centre (ORC; Southampton, England). Squares of quartz glass store voxels (volume elements)–the 3D equivalent of pixels–in a volumetric area within the glass. A 6 x 6 array of voxels occupies a 25 micron square area, and there is a 5 micron separation between these voxels.

    Reply
  19. Tomi Engdahl says:

    Opinion: U.S. tech dominance is under threat from this one Chinese company
    https://www.marketwatch.com/story/us-tech-dominance-is-under-threat-from-this-one-chinese-company-2018-04-16

    Huawei is challenging Apple and Qualcomm in markets including smartphones and chips

    In the hyper-competitive world of smartphones, a company that’s mostly unknown to the average American is challenging the two behemoths of Apple and Samsung. It’s also put Qualcomm on notice in chips.

    That company is Huawei.

    Though founded more than three decades ago and having risen to as high as No. 83 on the Fortune 500 list, Huawei remains an enigma to many investors and consumers in the U.S. It has grown to become the world’s third-largest smartphone vendor behind only South Korea’s Samsung SSNLF, -3.07% at No. 1, and Cupertino, Calif.-based Apple AAPL, -2.83% Huawei didn’t come by this success easily, as it spent more than $14 billion on research and development in 2017.

    Huawei is based in Shenzhen, China, and was founded by Ren Zhengfei, a former engineer from the People’s Liberation Army.

    Reply
  20. Tomi Engdahl says:

    SEMI and TechSearch International Report Global Semiconductor Packaging Materials Market Reaches $16.7 Billion
    http://www.semi.org/en/semi-and-techsearch-international-report-global-semiconductor-packaging-materials-market

    SEMI, the industry association representing the global electronics manufacturing supply chain, and TechSearch International today reported that the global semiconductor packaging materials market reached $16.7 billion in 2017. While slower growth of smartphones and personal computers – the industry’s traditional drivers – is reducing material consumption, the slowdown was offset by strong unit growth in the cryptocurrency market in 2017 and early 2018. Flip chip package shipments into the cryptocurrency market, while providing a windfall to many suppliers, are not expected to remain at high levels.

    Reply
  21. Tomi Engdahl says:

    5 Steps to Antenna Matching Using a Portable PC-Based VNA
    http://www.mwrf.com/test-measurement/5-steps-antenna-matching-using-portable-pc-based-vna?NL=MWRF-001&Issue=MWRF-001_20180419_MWRF-001_848&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=16788&utm_medium=email&elq2=f2c693c11e064abea6b38a82560daafd

    These practical tips outline an efficient way to perform impedance matching with a PC-based vector network analyzer combined with analysis software.

    Properly matching an antenna to a transceiver is one of the easiest ways to extend the signal range and battery life of a smartphone, laptop, or any other wireless device. According to the inverse square law of radio waves, only a 6-dB improvement in path loss from improved matching results in a device that transmits and receives at twice the range. A spectrum analyzer with a tracking generator can check an antenna match by looking at the voltage standing wave ratio (VSWR). But the best tool to make the impedance measurements needed to effectively design a matching network is a vector network analyzer (VNA).

    Until recently, many engineers tasked with integrating an antenna into a wireless device had limited or no access to a VNA due to the historically high cost of these instruments. In fact, some have not been trained on how to use them. However, the advent of low-cost, portable VNAs coupled with intuitive PC software has made it easier and more affordable to perform antenna matching to improve the performance of wireless devices.

    To combat circuit losses, the impedance-matching network in most cases consists of one or more low-loss inductors and capacitors or transmission line stubs. These components are used in a network design chosen to meet the goals of matching impedances, as well as any filtering and bandwidth (or multi-band) specifications as needed.

    Step 1: Calibrate the VNA as close to the measurement plane as possible, ideally at the matching-network location.

    Step 2: If necessary, align the calibration plane with the measurement plane by using a port extension.

    Step 3: Measure the unmatched impedance at the frequency of interest.

    Step 4: Determine the matching-network component values and integrate the components.

    Step 5: Confirm the matched impedance and adjust if needed.

    By following these five steps and using a portable VNA coupled with analysis software, anyone can improve their wireless device’s performance without breaking the bank.

    Reply
  22. Tomi Engdahl says:

    Radiation sensor design and applications: The LS 3N163
    https://www.edn.com/design/analog/4460561/Radiation-sensor-design-and-applications–The-LS-3N163-?utm_source=Aspencore&utm_medium=EDN&utm_campaign=social

    Wireless real-time radiation sensor networks offer the general public and those that work in high radiation areas greater protection against radiation hazards. Combined with real-time localized and global heat mapping of radiation levels, these radiation networks will help give government and environmental agencies the ability to understand the radiation landscape and respond quickly to radiation changes before they become life-threatening.

    Low-cost, low-power and no-power radiation sensors, also known as RADFETs (radiation field effect transistors) or dosimeters, are necessary for the implementation of these networks. The RADFET is unique because it does not need a power source to detect radiation.

    Reply
  23. Tomi Engdahl says:

    Power Stamp Alliance to develop standards for cloud data centers
    https://www.edn.com/electronics-blogs/powersource/4460565/The-Power-Stamp-Alliance?utm_source=Aspencore&utm_medium=EDN&utm_campaign=social

    Now, in 2018, the Power Stamp Alliance emerges with the goal of facilitating market competition by developing product standards based upon STMicroelectronics ICs, especially for the fast-ramping growth of cloud data centers. The alliance’s first product offering is based upon parallel resonant/non-resonant multiphase power converters with current doubler or otherwise known as 48V direct conversion.

    This alliance is different than the POLA/DOSA one. It ensures multiple sourcing of on-board isolated and non-isolated DC/DC converters. How they will put this into practice is to share select information which will ensure form, fit, and function (i.e. a standard form factor and mechanical, feature set, and functional compatibility).

    Although the size of the power solutions must be the same from all members, they will be able to compete with their individual design architectures.

    Reply
  24. Tomi Engdahl says:

    The Deep Complexity in Electrical Engineering
    https://spectrum.ieee.org/at-work/tech-careers/the-deep-complexity-in-electrical-engineering

    The scope of electrical engineering has been growing continuously through the years, but so too has the depth of complexity and required knowledge across this ever-larger landscape. There are many more highly trained engineers worldwide now than there were a few decades ago, so new applicable knowledge accumulates at a faster pace, while it seems that older, irrelevant knowledge leaves the field more slowly. There is more to know, and it is more demanding and complex.

    I took a cursory look, for example, at the mathematics in information theory or the physics in quantum computing or in electronic and optical devices, and I said to myself, “I could do this if I wanted, but I choose not to.”

    Reply
  25. Tomi Engdahl says:

    U.S. Commerce Dept. finds ZTE violated export disciplinary agreement, bans U.S. component supply
    http://www.lightwaveonline.com/articles/2018/04/u-s-commerce-dept-finds-zte-violated-export-disciplinary-agreement-bans-u-s-component-supply.html?cmpid=enl_lightwave_lightwave_friday_5_2018-04-20&pwhid=6b9badc08db25d04d04ee00b499089ffc280910702f8ef99951bdbdad3175f54dcae8b7ad9fa2c1f5697ffa19d05535df56b8dc1e6f75b7b6f6f8c7461ce0b24&eid=289644432&bid=2076102

    The U.S. Department of Commerce announced today that it would ban U.S. companies from providing components to ZTE because the Chinese company failed to comply with the terms of a disciplinary agreement reached in March 2017 arising from U.S. export law violations. The ban, slated to last seven years, also prevents others from reselling U.S. components to ZTE. The action has a direct effect on optical component and subsystems vendors who had ZTE on their customer rosters.

    Reply
  26. Tomi Engdahl says:

    How to Bend Diamonds
    Flexible nanodiamonds promise to open up novel optical and electronic properties
    https://spectrum.ieee.org/nanoclast/semiconductors/materials/flexible-nanodiamonds-bend-into-new-band-gap-structures

    It’s commonly known that diamonds are the hardest natural material. However, with that hardness comes brittleness: they may be hard but they’re not very flexible.

    Now an international team of researchers has demonstrated that diamonds, which are commonly believed to be inflexible, can be bent and stretched significantly. The researchers showed that the maximum tensile elastic strain of a diamond can reach nearly 9 percent, close to the theoretical limit of the material.

    The researchers believe that these enhanced mechanical properties make nanodiamonds much more durable than expected, and therefore could lead to applications that involve mechanical loading, making them candidates for applications such as diamond needle-based intracellular delivery. But it is what this flexiblity does to diamonds’ optical and electrical properties may prove to be the most significant in the long run.

    Reply
  27. Tomi Engdahl says:

    Toshiba eyes cancelling chip unit sale if no China approval by May: media
    https://www.reuters.com/article/us-toshiba-chips/toshiba-eyes-cancelling-chip-unit-sale-if-no-china-approval-by-may-media-idUSKBN1HT03A

    Japan’s Toshiba Corp has decided it will cancel the planned $18.6 billion sale of its memory chip unit if it does not get approval from China’s anti-monopoly regulator by May, the Mainichi newspaper said on Sunday.

    Reply
  28. Tomi Engdahl says:

    OSAT firms see flip-chip package orders eroded by digital coin price swings
    https://www.digitimes.com/news/a20180420PD206.html

    Taiwan OSAT (outsourced semiconductor assembly and test) firms are facing headwinds in striving for flip-chip packaging orders for cryptocurrency mining ASICs, as the sharp price fluctuations in the virtual currency exchange markets are undermining market demand for mining chips, deepening uncertainty over their revenue performance in the second quarter of 2018.

    At the moment, leading OSAT player Advanced Semiconductor Engineering (ASE) has landed the majority of mining chips packaging and testing orders, and other peer firms have reported lackluster order visibility for such chips, according to industry sources.

    The sources said that second-quarter flip chip package shipments to the cryptocurrency market are not expected to remain at high levels as seen in 2017 and early 2018, when strong growth in the market offset the reduction in semiconductor material consumption by the slower growth of smartphones and computers.

    Reply
  29. Tomi Engdahl says:

    Chips down: China aims to boost semiconductors as trade war looms
    https://www.reuters.com/article/us-usa-trade-china-chips/chips-down-china-aims-to-boost-semiconductors-as-trade-war-looms-idUSKBN1HR1DF

    China has long pushed the development of top-end semiconductors as a key strategic objective.

    Now, as a trade war with the United States looms, a government decision to accelerate the development of the domestic chip industry underlines how far those goals have fallen short.

    Senior Chinese officials are increasingly concerned about stalling efforts to improve domestic chip design, seen as critical after a series of failed outbound deals, according to two industry insiders familiar with the matter.

    Closing the quality gap with U.S. chipmakers has become a matter of urgency in Beijing.

    A Chinese deal for the U.S. semiconductor testing company Xcerra Corp was shot down by a U.S. national security panel in February, while the $1.3 billion acquisition of the U.S. chipmaker Lattice Semiconductor Corp was blocked last year.

    United States imposed a seven-year ban on sales by American companies to the Chinese phone maker ZTE Corp – a move that threatens to cut off its supply chain. [nL3N1RU3GJ

    ZTE, which relies heavily on U.S. chips, said on Friday the ban was unfair and threatened its survival.

    Reply
  30. Tomi Engdahl says:

    Q’comm in Crossfire as Trade Tensions Mount
    https://www.eetimes.com/author.asp?section_id=40&doc_id=1333204

    U.S. chip suppliers may have the most to lose from a U.S.-China trade war.

    As the saber-rattling between the U.S. and China over the bilateral trade deficit heated up this week, it became increasingly clear that U.S. components suppliers — and one in particular — may have the most to lose in a trade war between the world’s two largest economies.

    The U.S. has threatened to impose tariffs as early as next month on hundreds of Chinese tech products, many of which use chips designed and marketed by U.S. chip firms. As if that wasn’t enough, a ban enacted this week on exports to Chinese electronics giant ZTE Corp. will further cut into sales for U.S. chip firms, particularly Qualcomm, which sells an estimated $500 million worth of smartphone chips to ZTE each year.

    Meanwhile, China’s regulatory Ministry of Finance, also known as Mofcom, continues to hold hostage the $44 billion acquisition of NXP to which Qualcomm has pinned its hopes for continued growth and expansion.

    Reply
  31. Tomi Engdahl says:

    Alibaba Adds Embedded CPU Core Designer
    https://www.eetimes.com/document.asp?doc_id=1333203

    China’s internet behemoth, Alibaba Group, announced on Friday the acquisition of C-Sky Microsystems, a designer of China’s home-grown 32-bit embedded CPU processing core.

    Alibaba did not disclose terms of the acquisition.

    The move underscores a growing trend among companies, such as Google, Amazon, and Alibaba, who are eager to swallow up chip companies with a goal to design chips that fit their businesses.

    Reply
  32. Tomi Engdahl says:

    Qualcomm Begins Layoffs
    https://www.eetimes.com/document.asp?doc_id=1333198

    Qualcomm has begun laying off an unspecified number of full-time and temporary workers as part of a cost-cutting plan announced in January.

    A Qualcomm spokesperson confirmed to EE Times that the workforce reductions have begun. The layoffs had been reported earlier in the day by news services including Bloomberg and Reuters.

    “We first evaluated non-headcount expense reductions, but we concluded that a workforce reduction is needed to support long-term growth and success, which will ultimately benefit all our stakeholders,” the spokesperson said in an email exchange with EE Times.

    Reply
  33. Tomi Engdahl says:

    US chip export ban has Beijing calling for home alternatives
    http://www.atimes.com/article/us-chip-export-ban-beijing-calling-home-alternatives/

    But the inconvenient truth is that the market share of China-made chips stands at zero to 5% in most categories

    The ban by the Donald Trump administration on exports of chips for use in electronic hardware has come as a wake-up call to Chinese policymakers and gadget makers that, under the facade of the nation’s progress in telecom technologies and manufacturing, giants such as ZTE have a weak underbelly.

    These Chinese firms still rely on chips and integrated circuits made elsewhere, despite their stranglehold on such products as soft switches, servers and network protocol systems.

    The US Commerce Department on Monday banned American companies from selling key components, including chips, to the Shenzhen-based ZTE Corporation.

    The ban augurs ill for the networking and telecom behemoth, as ZTE may have nowhere else to go to procure chips and processors for its servers, base stations and multimedia subsystems.

    Reply
  34. Tomi Engdahl says:

    Rising component and material costs to put pressure on gross margin
    https://www.digitimes.com/news/a20180419PD206.html

    IC foundries, and PC and handset manufacturers continue to encounter rising costs of components and materials, and will see their gross margins come under downward pressure in 2018, according to industry sources.

    Prices for silicon wafers, passive components, DRAM, and other chip components and materials have been rising on tight supply, the sources indicated. Contract IC and device manufacturers are under increasing cost pressure, the sources said.

    In the silicon wafer market, for example, supply continues to lag demand. Chip demand for automotive electronics, IoT, AI and cryptocurrency mining applications has been robust, while major silicon wafer producers remain reluctant to expand substantially production capacity.

    GlobalWafers said recently the company has already seen clear order visibility through 2020. GlobalWafers is among the world’s top-5 semiconductor silicon wafer providers, along with Japan’s Shin-Etsu and Sumco, Germany-based Siltronic and South Korea’s SK Siltron.

    Reply
  35. Tomi Engdahl says:

    Searching For EUV Defects
    https://semiengineering.com/searching-for-euv-defects/

    What issues remain, and how they could affect manufacturing at 7/5nm.

    Reply
  36. Tomi Engdahl says:

    TSMC trims full-year revenue estimate on weaker smartphone demand
    https://uk.reuters.com/article/us-tsmc-results/tsmc-trims-full-year-revenue-estimate-on-weaker-smartphone-demand-idUKKBN1HQ1MM

    Taiwan Semiconductor Manufacturing Co Ltd (2330.TW), the world’s largest contract chipmaker, revised its full-year revenue target to the low end of its earlier forecast due to softer demand for smartphones and uncertainty in cryptocurrency mining market.

    Reply
  37. Tomi Engdahl says:

    Samsung’s Chip Sales Leadership May be Fleeting
    https://www.eetimes.com/document.asp?doc_id=1333217

    Samsung Electronics’ well-chronicled rise to the top of the heap in semiconductor sales last year, dethroning Intel after a quarter century, may be short lived, analysts say.

    Samsung’s sold $59.9 billion worth of chips in 2017, more than $1 billion more than second place Intel. But with the booming memory market bound to come back down to earth, Samsung could find itself back in the familiar position of playing second fiddle to Intel very soon.

    Market research firm Gartner said Tuesday (April 24) that the memory market boom that has propelled Samsung — as well as the broader semiconductor industry — to new heights over the past two years could turn sour as soon as late next year. When it does, Samsung’s reign as the top chip supplier is more than likely to end, Gartner (Stamford, Conn.) said.

    Roughly 80 percent of Samsung’s 2017 semiconductor sales — including foundry sales — came from memory products

    Reply
  38. Tomi Engdahl says:

    Record Year for Semiconductor Materials Forecast
    https://www.eetimes.com/document.asp?doc_id=1333218

    After increasing by nearly 10 percent in 2017, the semiconductor materials market is expected to grow by an additional 4 percent in 2018 to reach a new all-time high of $48.7 billion, according to the SEMI trade association.

    The previous market high for materials was $47.1 billion set in 2011, SEMI said.

    Total wafer fabrication materials sales grew 12.7 percent last year to reach $27.8 billion, SEMI said, while packaging materials sales increased by 5.4 percent to reach $19.1 billion.

    Reply
  39. Tomi Engdahl says:

    Shara Tibken / CNET:
    Samsung reports $14.4B profit in Q1 driven by memory chips demand, up 58% YoY, but warns of profit decline in Q2 due to “stagnant sales” of its flagship phones

    Samsung makes a lot of money from chips, but phones may struggle
    https://www.cnet.com/news/samsung-q1-2018-earnings-revenue-soar-on-memory-chip-demand-but-mobile-will-struggle/

    Despite reporting record profits, Samsung says it’s seeing the effects of a “sluggish” smartphone market. Which could mean more promotions for the Galaxy S9.

    Reply
  40. Tomi Engdahl says:

    Next EUV Issue: Mask 3D Effects
    https://semiengineering.com/next-euv-issue-mask-3d-effects/

    Old problem is becoming more difficult to resolve at each new node; mitigation measures being developed.

    As extreme ultraviolet (EUV) lithography moves closer to production, the industry is paying more attention to a problematic phenomenon called mask 3D effects.

    Mask 3D effects involve the photomask for EUV. In simple terms, a chipmaker designs an IC, which is translated from a file format into a photomask. The mask is a master template for a given IC design. It is placed in a lithography scanner, which projects light through the mask. That, in turn, is used to pattern images on a wafer.

    For EUV, the mask is a multi-layer structure with absorbers. Based on tantalum (Ta) materials, each absorber consists of a 3D-like feature that juts out on top of the mask. In operation, EUV light hits the mask at a 6-degree angle, with the reflections potentially causing a shadowing effect or photomask-induced imaging aberrations on the wafer. This issue, known as mask 3D effects, can result in unwanted feature-size dependent focus and pattern placement shifts.

    The industry has known about the problem for years, but it hasn’t been a top priority. For one thing, the industry has been pre-occupied with the other challenges in EUV, namely the power source. In addition, EUV processes can cause problematic random variations, also known as stochastic effects. These effects, in turn, cause stochastic-induced defects in chips.

    Now, mask 3D effects are entering the radar screen. These effects are not new and occur in today’s complex optical masks. But they are problematic for EUV, and become worse at each node.

    Mask 3D effects are here to stay with EUV, at least to one degree or another, depending on the situation.

    Reply
  41. Tomi Engdahl says:

    ST Sees Sales Growth Despite Weak Smartphone Demand
    https://www.eetimes.com/document.asp?doc_id=1333222

    Results coming in from several of the chip companies this week have shown a seasonal decline in demand from smartphones impacting revenue growth. STMicroelectronics announced strong first quarter results despite slowdown in sales for smartphones, while Austrian chipmaker ams expects a significant short-term impact from changes in its customers’ smartphone programs.

    STMicroelectronics’ announced net revenues of $2.23 billion in Q1 2018, up 22.2 percent year-over-year, but a sequential decrease from the previous quarter of 9.8 percent.

    Bozotti said ST’s projections for second quarter growth come from strong sales in automotive, industrial and IoT chips.

    Reply
  42. Tomi Engdahl says:

    TSMC Continues to Dominate the Worldwide Foundry Market
    http://www.icinsights.com/news/bulletins/TSMC-Continues-To-Dominate-The-Worldwide-Foundry-Market/

    Research included in the recently released 50-page April Update to the 2018 edition of IC Insights’ McClean Report shows that in 2017, the top eight major foundry leaders (i.e., sales of ≥$1.0 billion) held 88% of the $62.3 billion worldwide foundry market (Figure 1). The 2017 share was the same level as in 2016 and one point higher than the share the top eight foundries represented in 2015. With the barriers to entry (e.g., fab costs, access to leading edge technology, etc.) into the foundry business being so high and rising, IC Insights expects this “major” marketshare figure to remain at or near this elevated level in the future.

    Reply
  43. Tomi Engdahl says:

    China invites overseas investors to propel local chip ambitions
    https://www.reuters.com/article/us-china-semiconductors-fund/china-invites-overseas-investors-to-propel-local-chip-ambitions-idUSKBN1HW102

    BEIJING (Reuters) – China is looking for billions of dollars in funds to propel its domestic ambitions in chips to cut a heavy reliance on imports, and has invited overseas investors to help it get there.

    Reply
  44. Tomi Engdahl says:

    New Semiconductor Strategies Evolve Downstream
    http://www.electronicdesign.com/analog/new-semiconductor-strategies-evolve-downstream?NL=ED-003&Issue=ED-003_20180426_ED-003_195&sfvc4enews=42&cl=article_1_b&utm_rid=CPG05000002750211&utm_campaign=16949&utm_medium=email&elq2=6f9af155d6c045b38ae1ef1d381dc3bd

    Facebook’s and Alibaba’s investment in semiconductor design capabilities reveals a growing trend that could further invigorate the industry.

    For many years, it’s been commonplace for electronics OEMs to possess their own semiconductor design and even manufacturing capabilities. Companies often find it necessary to dedicate funds to semiconductor research in their R&D efforts to develop technologies critical to their products.

    Reply
  45. Tomi Engdahl says:

    Hidden Costs Of Shifting Left
    https://semiengineering.com/hidden-costs-of-shifting-left/

    How much time and effort can be saved by doing certain tasks earlier, and where are the pain points.

    The term “Shift Left” has been used increasingly within the semiconductor development flow to indicate tasks that were once performed sequentially must now be done concurrently. This is usually due to a tightening of dependences between tasks. One such example being talked about today is the need to perform hardware/software integration much earlier in the flow, rather than leaving it as a sequential task that starts when first silicon becomes available. But does it work, and does it really save time and effort?

    Systems have changed significantly over the past 10 years. Historically, bringing up software on hardware was not that difficult.

    “Products are getting complicated,” proclaims Alex Starr, senior fellow at Advanced Micro Devices. “A typical system today has over thirty firmware engines in it, in addition to the actual CPU. There has been a huge complexity increase plus there are also security concerns for these firmware engines, there is power management and it is all controlled by the firmware engines.”

    Suman Mandal, emulation architect for Intel, adds “there are more programmable components in a system, and the list just keeps growing. You can no longer just verify the hardware. You can no longer just verify the software based on a scalable unchanging hardware that hasn’t changed much over the years. You have had the luxury of building on the shoulders of giants and not having to worry about it much. Today, we are seeing all of these coming together in a timeframe that a lot of the engineering communities are not used to.”

    Even if all the IP blocks used within a system have been exhaustively verified, there are still verification challenges. “Unless there is one company who makes everything, then you will always have integration problems,”

    How far left?
    When is the right time to start developing and integrating software? “When Arm develops IP, we start developing a system and software as soon as it is even in the concept stage,” says Neifert. “We start with the methodology as early as we can. We are developing models now for processors that we won’t even talk to partners about for another year or two.”

    Arm isn’t alone on this. “We develop performance models before the hardware gets started,” adds Ullah. “We have to be able to give the architects ideas about what they need to do, and we rely on the model to allow us to make tradeoffs. These are C++ virtual models.”

    The situation is helped by the incremental nature of most designs. This is especially true of processors and many of the interfaces into a design. “We take the design from a previous generation and extract traces of the software that we can run on the models of the next generation,” continues Ullah. “Some can be virtual models, some can be hardware, and if we are lucky we get something that we can boot the OS on. Then we can look at newer software. In the past that used to be when all features were complete, but we are moving that up.”

    Reply
  46. Tomi Engdahl says:

    Intel shares surge 7% on earnings beat, strong outlook
    https://www.marketwatch.com/story/intel-shares-surge-8-on-earnings-beat-strong-outlook-2018-04-26

    Data-center revenue soars, PC-based sales show surprise gain

    ntel Corp. shares rallied in the extended session Thursday after the chip maker’s quarterly results and outlook topped Wall Street estimates and sales to data centers came in stronger than expected.

    Intel INTC, +2.28% shares rallied 7% after hours, following a 3.3% gain to close at $53.05 in the regular session. The strong results follow similarly positive reports from chip makers Advanced Micro Devices Inc. AMD, +1.90% and Qualcomm Inc. QCOM, +0.93% . Strength in the chip space is sorely needed given that the PHLX Semiconductor Index SOX, -0.04% has struggled recently to maintain gains for the year. The SOX index closed at a 1.2% gain for 2018 following a 2.1% advance Thursday.

    Reply

Leave a Comment

Your email address will not be published. Required fields are marked *

*

*