Tech trends 2022

The year 2021 was strange, you can read more of it from A 2021 technology retrospective: Strange days indeed. But how strange will 2022 be? Here are some predictions for year 2022:

2022 preview: Will the global computer chip shortage ever end?
The growing demand for computer chips, used in everything from cars to fridges, has collided with the effects of the coronavirus pandemic, leading to a global shortage that is likely to continue through 2022
Read more: https://www.newscientist.com/article/2022-2022-preview-will-the-global-computer-chip-shortage-ever-end/#ixzz7GqrP1H9A

Industry Transforming In Ways Previously Unimaginable
https://semiengineering.com/industry-transforming-in-ways-previously-unimaginable/?cmid=3dedf05d-0284-497a-b015-daf7747872e6

As we look back over 2021, there have certainly been some surprises, but the industry continues to take everything in its stride.

2022 tech themes: A look ahead
https://www.edn.com/2022-tech-themes-a-look-ahead/

The continued COVID-19 question mark: The world quickly and dramatically changed. It hasn’t yet reverted to pre-pandemic characteristics, and it very likely never will. Sad but true, the pandemic isn’t even close to being over yet.
Deep learning’s Cambrian moment: Look at today’s participant-rich deep learning silicon and software market, spanning both training and inference.
The ongoing importance of architecture: As the number of transistors that it’s possible to cost-effectively squeeze onto a sliver of silicon continues to slow, what you build out of those transistors becomes increasingly critical.
Open source processors’ time in the sun: There is a burgeoning RISC-V movement. It’s likely a little-known fact to some of you, that a public domain instruction set for v2 and earlier versions of the Arm ISA exists. And both Sun (with OpenSPARC) and IBM (OpenPOWER) have also joined the open-source silicon movement.
The normalization of remote work (and the “Great Resignation’s” aftershocks): I suspect that, to at least a notable degree, we won’t ever completely return to the “way it was before.” In fact, I’d wager that having a taste of a work-from-home or “hybrid” employment lifestyle is one of the key factors behind the so-called “Great Resignation” that tech and broader media alike inform me is well underway.
The metaverse starts to stir: Perhaps we’ll look back at 2022 as the year when the crossing of the chasm started in earnest.
Autonomy slowly accelerates: 2021 was another year filled with fully autonomous car tests and premature “coming soon” pronouncements; 2022 will likely be the same.
Batteries get ever denser, ever more plentiful, and ever cheaper
Space travel becomes commonplace

Global semiconductor industry forecasts for 2022
https://www.digitimes.com/news/a20211229VL205.html

“2021 is the year that everyone remembered that chip mattered,” said Wired Magazine. So far 2022 seems likely to be another fruitful year for the semiconductor industry.

World Semiconductor Trade Statistics (WSTS) also has predicted that the global semiconductor market is projected to grow by 8.8 percent in 2022, to US$ 601 billion, driven by double-digit growth of the sensors and logic category. All regions and all product categories are expected to continue positive growth. Wafer foundry manufacturers sales likely to remain strong due to tight supply. 5G smartphone silicon content increase to drive demand for foundry service higher. Demand for digital transformation is here to stay, no sign of weakening for foundry service sales.

The COVID-19 pandemic accelerated digital transformation over the past two years. Work from home, virtual conference, and remote learning have driven up the demand for cloud computing, laptops, and servers, and hence the sales growth of related semiconductor products. Demands for CPU, GPU, AI accelerator (including FPGA) foundry services will remain strong in 2022 because trends such as virtual conferences, live streaming, and large capex of data centers are likely to stay. Long-term demands for customized chips in IoT, 5G infrastructure, HPC, and EV applications, like ADAS, autonomous driving, V2X, in-Vehicle Infotainment, will provide robust growth momentum for chip foundry services.

Chip crunch is not ending in 2022, as the lead time of some electronic components is stretching into 2023. Meanwhile, the increasing adoption of RISC-V open standard instruction set architecture is an important trend that can not be ignored. RISC-V market will double its size in 2022, compared to 2021, as it is attracting small and medium-size chip designers and manufacturers, especially those in China. RISC-V designs are now being used by Qualcomm, Samsung, Google, Microchip, Nvidia, and more.

Taiwan’s chip industry emerges as a battlefront in US-China showdown
https://www.taipeitimes.com/News/editorials/archives/2022/01/01/2003770517

The country dominates production of chips used in almost all civilian and military technologies. That leaves the US and Chinese economies reliant on plants that would be in the line of fire in an attack on Taiwan. The vulnerability is stoking alarm in Washington

40 prosenttia pienempiä latureita
https://etn.fi/index.php?option=com_content&view=article&id=12981&via=n&datum=2021-12-20_14:53:12&mottagare=30929

The size of a standard mobile phone charger can be reduced by up to 40 percent when using GaN components or it can be designed to produce more power in the same size. GaN chargers are becoming the most popular charger technology for billions of devices, so it’s no wonder that European semiconductor giant STMicroelectronics is also excited about them.

1,320 Comments

  1. Tomi Engdahl says:

    Venäjälle ei enää myydä komponentteja
    https://etn.fi/index.php/13-news/13851-venaejaelle-ei-enaeae-myydae-komponentteja

    Euroopan komponenttien jakelumarkkinat kasvoivat puolijohteiden osalta 33,4 prosenttia ja passiivisissa sekä sähkömekaanisissa komponenteissa 19,6 prosenttina vuodentakaiseen verrattuna. DMASS-järjestö kuitenkin arvioi, että loppuvuoden osalta ennusteiden teko on vaikeampaa. Venäjälle komponentteja ei enää myydä.

    Pula komponenteista vaikuttaa edelleen Euroopan komponenttien jakeluliiketoiminnassa, mutta niihin liittyy nyt osittaista helpotusta joillakin tuotealueilla. Tästä huolimatta jakelijat myivät huhti-kesäkuussa puolijohteita 3,09 miljardilla eurolla eli kolmanneksen enemmän kuin vuosi sitten. Passiivikomponenteissa myynnin arvo nousi 1,51 miljardiin euroon.

    Kaikkiaan jakelijat myivät komponentteja toisella neljänneksellä 4,6 miljardilla eurolla. Summa on 28,5 prosenttia suurempi kuin viime vuonna.

    Reply
  2. Tomi Engdahl says:

    https://etn.fi/index.php/13-news/13837-laepimurto-piirikortteja-voidaan-valmistaa-tulostamalla

    Lisäävä valmistus tai additiivinen valmistus tarkoittaa prosessia, jossa esine tai laite valmistetaan kerros kerrokselta. Tutuinta se on 3D-tulostuksesta. Nyt EU:n alaisessa SmartEEs2-projektissa on tehty merkittävä läpimurto, kun tutkijat onnistuivat lisäävällä menetelmällä valmistamaan toimivan laitteet piirikortin.

    Asialla on painetun elektroniikan pioneereihin kuuluva saksalainen InnovationLab. Läpimurto tehtiin Horizon 2020:n rahoittamassa SmartEEs2-tutkimusprojektissa yhdessä ISRAn kanssa. Käytetyt piirit ovat silkkipainettuja ja yhteensopivia tavanomaisten juotosprosessien kanssa.

    Reply
  3. Tomi Engdahl says:

    Intel esitteli grafiikkapiirinsä, mutta tulevatko ne koskaan markkinoille?
    https://etn.fi/index.php/13-news/13855-intel-esitteli-grafiikkapiirinsae-mutta-tulevatko-ne-koskaan-markkinoille

    Intel esitteli eilen jo vuosi sitten hehkuttamansa Arc Pro -sarjan ensimmäiset grafiikkapiirinsä ja kaksi korttia. Samaan aikaan markkinoilla huhutaan vahvasti, että koko Arc-sarja voi jäädä tulematta markkinoille ollenkaan.

    Intel ei ole halunnut kertoa mitään tarkkaa markkinoille tuomisen aikataulusta. Tiedotteessaan yhtiö sanoo, että grafiikkaprosessorit tulevat markkinoille ”myöhemmin tänä vuonna johtavilta kannettavien ja työpöytäkoneiden valmistajilta”. Tämä toki eroaa yhtiön normaaleista tuotejulkistuksista, joissa yleensä listataan tulevia läppäreitä, joista uutuudet löytyvät.

    Alun perin Arc-prosessorien piti tulla tarjolle alkuvuodesta 2022. Niillä varustettuja läppäreitä – esimerkiksi A380-prosessoriin perustuvia – ei pysty käytännössä ostamaan. Kun Arc-pohjaisia koneita on saatu testeihin, suorituskyky niissä heittelee suuresti. Mikäli peli ei tue DirectX12- tai Vulkan-sarjapintoja, suorituskyky on ollut heikko, pelitestaajat raportoivat.

    Reply
  4. Tomi Engdahl says:

    Intelin i7 ahdettiin luottokortin kokoiselle kortille
    https://etn.fi/index.php?option=com_content&view=article&id=13801&via=n&datum=2022-07-29_13:10:40&mottagare=31202

    Yhden kortin sulautetut korttitietokoneet kehittyvät kovaa vauhtia. Ominaisuudet lisääntyvät ja suorituskyky kasvaa. Taiwanilaiseb Aaeonin de next -sarja on tästä hyvä esimerkki. Ensimmäistä kertaa Intelin 11. polven Core-i7-prosessori on saatu ahdettua luottokortin kokoiselle kortille.

    Aaeonin mukaan kyse on uuden polven sulautetuista korttitietokoneista. Core i7:n lisäksi prosessorivaihtoehtona on AMD:n Ry

    Reply
  5. Tomi Engdahl says:

    yzen V2000. Korttien koko on tehosta huolimatta vain 84 x 55 millimetriä.

    Reply
  6. Tomi Engdahl says:

    Seuraavaksi älypuhelin tappaa avaimen
    https://etn.fi/index.php?option=com_content&view=article&id=13793&via=n&datum=2022-07-22_11:58:31&mottagare=31202

    Älypuhelin on ottanut monien käyttämiemme sovellusten ja laitteiden paikan, kamera ehkä niistä tähän asti keskeisin. Seuraavaksi kohdelistalla on yli 4000 vanha keksintö eli avain. Infineon uskoo, että tulevaisuudessa avainten käyttö jää historiaan. Yhtiö tuo markkinoille ratkaisun, jolla voidaan avata ja sulkea lukkoja matkapuhelimella.

    Infineonin ratkaisu toimii ilman, että lukossa on mitään paristoja. Sovellus saa tarvitsemansa virran kontaktittomasti matkapuhelimesta. Tuloksena on luotettava, vähän huoltoa vaativa ja turvallinen tavan avata ja sulkea älylukkoja.

    Infineon kertoo ottavansa kontaktittoman energiansiirron ratkaisun käyttöön myös muissa sovelluksissa. Tällä halutaan säästää akkujen käytössä. – Lisäksi se mahdollistaa uudet sovellukset, joissa akkujen käyttö oli aiemmin liian monimutkaista tai liian kallista, sanoo Infineonilla anturijärjestelmien ryhmästä vastaava Andy White. Yksi esimerkki on hankalasti mitattavat parametrit, kuten polkupyörien passiivinen NFC-rengaspaineanturi.

    Reply
  7. Tomi Engdahl says:

    PCIe7 tuo hurjat nopeudet liitäntään
    https://etn.fi/index.php?option=com_content&view=article&id=13785&via=n&datum=2022-07-20_09:29:27&mottagare=31202

    Tärkeintä PC-liitäntätekniikkaa hallinnoiva PCI-SIG-järjestö julkaisi vähän aikaa sitten tarkempia tietoja tulevasta PCI Express 7.0 -standardista. Sen myötä PCIe-väylän nopeus kasvaa nyt markkinoille tulossa olevin PCIe5-laitteisiin verrattuna kahdeksankertaiseksi.

    PCIe 7 julkaistaan vuonna 2025 ja laitteisiin se ehtinee PCI-SIG:n mukaan luultavasti vuoden 2027 tienoilla. Nopeus on 128 gigasiirtoa sekunnissa. 16-linjaisen liitännän yli dataa saadaan siirtymään 512 gigatavua sekunnissa.

    Reply
  8. Tomi Engdahl says:

    Is It Finally Time for Silicon Photonics to Shine?
    July 13, 2022
    A new silicon-photonics process developed by GlobalFoundries has the backing of Ayar Labs, Broadcom, Cisco, Marvell, and NVIDIA.
    https://www.electronicdesign.com/technologies/embedded-revolution/article/21239005/electronic-design-globalfoundries-its-time-for-silicon-photonics-to-shine?utm_source=EG+ED+Analog+%26+Power+Source&utm_medium=email&utm_campaign=CPS220718013&o_eid=7211D2691390C9R&rdx.identpull=omeda|7211D2691390C9R&oly_enc_id=7211D2691390C9R

    Reply
  9. Tomi Engdahl says:

    https://etn.fi/index.php/13-news/13833-taemaen-hetken-mullistavin-tekniikka-on-gan

    Gallium-nitridi on materiaali, joka on mullistanut pienten laitteiden lataamisen nopealla aikataudilla. Tulossa ovat jo 200 ja 240 watin kännykkä- ja läppärilaturit ja lisäksi GaN-piirien avulla säästetään merkittävästi hiilidioksidipäästöissä. GaN vastaa monien toiveisiin.

    Reply
  10. Tomi Engdahl says:

    Using Popular Platforms in Industrial Settings
    June 28, 2022
    This article examines the rise of platforms like Raspberry Pi and Arduino in industrial solutions, including COM and SOM versions.
    https://www.electronicdesign.com/industrial-automation/article/21245354/electronic-design-using-popular-platforms-in-industrial-settings

    Reply
  11. Tomi Engdahl says:

    EU julistamassa litiumia myrkyksi
    https://etn.fi/index.php/13-news/13856-eu-julistamassa-litiumia-myrkyksi

    Euroopan kemikaalivirasto ECHA ehdottaa, että litium luokiteltaisiin vaaralliseksi aineeksi. Akkujen ja materiaalien valmistajat ovat hermostuneet ideasta, sillä se uhkaa paitsi nopeasti kasvavaa akkuteollisuutta myös koko sähköistymisen tavoitteita.

    ECHA:n mukaan litium pitäisi luokitella luokan 1A myrkyksi. Ehdotus on nyt lausuntokierroksella ja päätös siitä on luvassa ensi vuonna.

    Reply
  12. Tomi Engdahl says:

    Kylmälaitteita voi joutua nyt odottamaan jopa vuoden – taustalla tuttu syy https://www.is.fi/taloussanomat/art-2000008995758.html

    Reply
  13. Tomi Engdahl says:

    Amazon Buys Roomba Company, Will Now Map Inside of Your House
    The corporate giant has purchased a company that uses robots to map the interior of people’s homes. Also, it vacuums.
    https://www.vice.com/en/article/y3pp8y/amazon-buys-roomba-company-will-now-map-inside-of-your-house

    Reply
  14. Tomi Engdahl says:

    Microsoft open sources its 3D emoji to let creators remix and customize them
    Get ready for lots of custom 3D emoji
    https://www.theverge.com/2022/8/10/23299527/microsoft-emoji-open-source-creators?scrolla=5eb6d68b7fedc32c19ef33b4

    While Microsoft released its emoji in Windows 11 last year and 3D versions in Microsoft Teams in February, the company hadn’t originally planned to open source its work. “Initially we were focused on building the body of work,” says Jon Friedman, Microsoft’s CVP of design and research, in an interview with The Verge. “The idea kinda just started popping around, and it aligned with our belief and perspective that the more open source we are internally and externally, the more product excellence we can build, and the more relevant we can be for all of humanity.”

    Microsoft now wants creators to explore new ways to build upon its emoji. “Internally at Microsoft we’re one design community that can only do so much or see so much,” explains Friedman. “We have a desire to engage the community and help us see and do more that’s globally relevant, that reaches people in unique ways.”

    Designing in the Open(Source)
    Why we’re excited to freely share our emoji for you to remix
    https://medium.com/microsoft-design/designing-in-the-open-source-5c62be73a599

    Reply
  15. Tomi Engdahl says:

    Allison Johnson / The Verge:
    Samsung debuts the $1,800 Galaxy Z Fold 4 with 6.2″ and 7.6″ displays, Snapdragon 8+ Gen 1, 12GB of RAM, 256GB+ storage, and a 50MP camera; preorders now open — Minor camera and software improvements, big $1,799 price tag — Samsung, purveyor of the only serious foldables available on a global scale …

    The new Samsung Galaxy Z Fold 4 is a little better and still too expensive
    https://www.theverge.com/23298448/samsung-galaxy-z-fold-4-specs-price-screen-camera-hands-on?scrolla=5eb6d68b7fedc32c19ef33b4

    Minor camera and software improvements, big $1,799 price tag

    Samsung, purveyor of the only serious foldables available on a global scale, is taking a victory lap with the Galaxy Fold 4. As is tradition, the company has announced full details after a parade of teases and leaks, confirming what we strongly suspected: it’s not a huge update.

    The overall design is ever so slightly sleeker, with a slimmer hinge and bezels. This is mostly cosmetic and doesn’t make much of a difference to how compact the phone folds down — just the difference of a fraction of a millimeter (15.8mm thick at the hinge and 14.2mm where the sides meet). It weighs a little less: 263 grams compared to the Z Fold 3’s 271 grams. It’s still a heavy phone, which is to be expected for something that doubles as both a phone and a small tablet.

    I can’t say it was noticeably slimmer or lighter than I expected, but the finish is fitting of a flagship device. It doesn’t feel prototype-y, either.

    Reply
  16. Tomi Engdahl says:

    Nirave Gondhia / XDA Developers:
    Samsung Galaxy Z Fold 4 hands-on: improved, more durable displays, easier one-handed use, lighter than the Fold 3, and better cameras, but only 25W charging
    https://www.xda-developers.com/samsung-galaxy-z-fold-4-hands-on/

    Reply
  17. Tomi Engdahl says:

    Jon Fingas / Engadget:
    Samsung unveils the Galaxy Z Flip 4, featuring the same 1.9″ and 6.7″ displays, battery and camera upgrades, and the Snapdragon 8+ Gen 1, starting at $999 — As expected, Samsung has unveiled the Galaxy Z Flip 4 — but the phone’s greatest improvements might come through upgrades to software, not specs.
    https://www.engadget.com/samsung-galaxy-z-flip-4-release-date-price-130024096.html?guccounter=1&guce_referrer=aHR0cHM6Ly93d3cudGVjaG1lbWUuY29tLw&guce_referrer_sig=AQAAAJ5zujZlRDHWMelrKt45XoEfxgj3eCE1wIihN7mkgoV-qCqRs3yCLLCGgj9wglZERCMO_Ex1yuTx7QNy09BnTqrK6d2zPmo84IH9or3vbftRnJ9J3wZ4FxSvcEIV8D6Kod99DeufeiGBFO8qxE_ktKzhZU52kKp83_aAfBXLP6Rl

    Reply
  18. Tomi Engdahl says:

    Kiina yllättää huipputehokkaalla GPU-prosessorilla
    https://etn.fi/index.php/13-news/13858-kiina-yllaettaeae-huipputehokkaalla-gpu-prosessorilla

    Kiina yrittää kovasti tulla omavaraiseksi huipputekniikassa, mutta puolijohteissa tavoite on vähintään hankala. Nyt kiinalainen Biren Technology on kuitenkin julkistanut GPU-prosessorin, joka laittaa tosissaan kampoihin esimerkiksi Nvidian parhaimmille suorittimille.

    Yhtiön esittelemä BR100-prosessori on valmistettu 7 nanometrin prosessissa ja pitää sisällään 77 miljardia transistoria. Esimerkiksi Nvidian lippulaivasuoritin H100 koostuu 80 miljardista transistorista. H100 on valmistettu 4 nanometrin prosessissa, joten siru on fyysisesti paljon pienempi.

    BR100 yllättää myös suorituskyvyllään. Se yltää parhaimmillaan testien mukaan 1024 teraflopsin suorituskykyyn (BF16-arvo eli 16-bittinen liukuluku). Näin näyttää siltä, että piiri olisi tehokkaampi kuin Nvidian tämän hetken työhevonen eli Ampere A100 -prosessori.

    Reply
  19. Tomi Engdahl says:

    Reaaliaikainen ethernet tulee mikro-ohjaimille
    https://etn.fi/index.php/13-news/13859-reaaliaikainen-ethernet-tulee-mikro-ohjaimille

    Monissa teollisuuden ohjaussovelluksissa tarvitaan reaaliaikaista ohjausta. Usein tämä tapahtuu ethernetin ja sen päällä toimivien eri protokollien avulla. Renesas on nyt esitellyt ohjainpiirien perheen, joka tukee käytetyimpiä teollisuuden verkkoprotokollia.

    RZ/N2L-mikroprosessorien avulla verkkotoimintojen lisääminen teollisuuslaitteisiin on helppoa, Renesas kehuu. RZ/N2L-ohjaimet tukevat monia alan standardispesifikaatioita ja protokollia helpottaakseen teollisuuden automaatiolaitteiden kehittämistä, jotka vaativat reaaliaikaisia ​​ominaisuuksia.

    Ethernet-sateenvarjon alla reaaliaikaisuus tarkoittaa yhä suositumpaa TSN-standardia eli aikakriittistä verkottamista (Time-Sensitive Networking). Integroidulla TSN-yhteensopivalla 3-porttisella Gigabit Ethernet -kytkimellä ja EtherCAT-ohjaimella varustetut Renesasin uudet ohjaimet tukevat myös kaikkia tärkeimpiä teollisuuden verkkoviestintäprotokollia, kuten EtherCAT, PROFINET RT, EtherNet/IP ja OPC UA, sekä uusi PROFINET IRT.

    Reply
  20. Tomi Engdahl says:

    Abner Li / 9to5Google:
    Google launches Get The Message, a campaign to pressure Apple to support RCS over SMS/MMS, highlighting low-resolution photos and videos, no e2ee, and more

    https://9to5google.com/2022/08/09/google-apple-rcs-campaign/

    Reply
  21. Tomi Engdahl says:

    South China Morning Post:
    Chinese chipmaker SMIC reports Q2 revenue rose 41.6% YoY to $1.9B vs. $1.89B est., but net profit fell 25% YoY to $514M, amid lockdowns and harsher US sanctions — Semiconductor Manufacturing International Corp (SMIC) reported better-than-expected earnings for the June quarter …

    China’s top chip maker SMIC beats earnings estimates despite threat of more US sanctions
    https://www.scmp.com/tech/tech-war/article/3188583/chinas-top-chip-maker-smic-beats-earnings-estimates-despite-threat

    Semiconductor Manufacturing International Corp reported revenue growth of 41.6 per cent for the June quarter
    Net profits were down 25 per cent from the same period last year, as gross margin rose to 39.4 per cent

    3
    A Chinese flag hangs from a pole near the Semiconductor Manufacturing International Corp headquarters in Shanghai. Photo: Bloomberg
    A Chinese flag hangs from a pole near the Semiconductor Manufacturing International Corp headquarters in Shanghai. Photo: Bloomberg

    Semiconductor Manufacturing International Corp (SMIC) reported better-than-expected earnings for the June quarter, as China’s top chip maker faces up to rising risks of harsher US sanctions.

    The company reported on Thursday that revenue during the three-month period rose 41.6 per cent year-on-year to reach US$1.9 billion, slightly better than Bloomberg’s consensus estimates of US$1.89 billion.

    Net profits came in at US$514.3 million under global accounting standards, down 25 per cent from the same period last year, compared with US$447.2 million in the previous quarter. This was better than Bloomberg’s consensus estimates of US$469 million.

    Reply
  22. Tomi Engdahl says:

    Alexandra Alper / Reuters:
    Sources: SK Hynix to select a US site for its chip packaging plant, which would qualify for CHIPS Act funding, by Q1 2023 and enter mass production by 2025-2026 — South Korea’s SK Hynix aims to select a U.S. site for its advanced chip packaging plant and break ground there around …
    https://www.reuters.com/technology/sk-hynix-break-ground-new-us-chip-packaging-plant-early-next-year-sources-2022-08-12/

    Reply
  23. Tomi Engdahl says:

    SSDs Are Worse for the Planet Than HDDs: Report
    By Francisco Pires published 2 days ago
    https://www.tomshardware.com/news/ssds-create-more-carbon-emissions-than-hdds-report

    HDDs get another green ally in their fight against their younger, faster siblings.

    Reply
  24. Tomi Engdahl says:

    3D-STACKED CMOS TAKES MOORE’S LAW TO NEW HEIGHTS
    When transistors can’t get any smaller, the only direction is up
    https://spectrum.ieee.org/3d-cmos?share_id=7168063

    Reply
  25. Tomi Engdahl says:

    Businesses should dump Windows for the Linux desktop
    It makes perfect sense for enterprises as well as enthusiasts. Just ask GitLab
    https://www.theregister.com/2022/08/10/opinion_column_drop_windows_for_linux/

    OPINION I’ve been preaching the gospel of the Linux desktop for more years than some of you have been alive. However, unless you argue that the Linux desktop includes Android smartphones and ChromeOS laptops, there will be no year of the Linux desktop.

    But there should be. For example, as GitLab recently revealed in its onboarding document for employees, staffers can run macOS, and they can run Linux on their desktops. But Windows? Forget about it!

    Why? GitLab explained: “Due to Microsoft Windows’ dominance in desktop operating systems, Windows is the platform most targeted by spyware, viruses, and ransomware.”

    Reply
  26. Tomi Engdahl says:

    How to Find Your RAM Serial Number Using the Command Prompt on Windows
    BY
    RUSS WARE
    PUBLISHED APR 09, 2022
    There are plenty of third-party tools that can tell you your RAM’s serial number, but the Command Prompt can also do it without any extra apps.
    https://www.makeuseof.com/windows-find-ram-serial-number-command-prompt/#Echobox=1659934976

    Reply
  27. Tomi Engdahl says:

    Digitaalinen kaksonen mullistaa laitesuunnittelun
    https://www.uusiteknologia.fi/2022/08/12/digitaalinen-kaksonen-mullistaa-laitesuunnittelun/

    Schneider Electric on julkaissut skaalautuvan EcoStruxure Machine Expert Twin -sovelluksen, joka luo valmistettavasta koneesta tai laitteesta digitaalisen kaksosen. Virtuaalinen sovellus auttaa laitevalmistajia tehostamaan suunnitteluprosessejaan luomalla laitteesta tarkan digitaalisen mallin jo konseptointi- ja suunnitteluvaiheessa.

    Schneider Electricisin EcoStruxure Machine Expert Twinsin luvataan digitalisoivann koneenrakennuksen kaikki vaiheet. Sen avulla voi yrityksen mukaan esimerkiksi esitellä vasta suunnitteluasteella olevaa tuotetta visuaalisessa muodossa ostajille.

    Laitteen valmistuttua digitaalista kaksosta voi hyödyntää huolto- ja ylläpitotoimenpiteissä ja vaikuttaa näin laitteen seisonta-aikoihin, sanoo Schneider Electricin digitaalisen suunnitteluosuuden johtaja Ali Haj Fraj.

    Uudella kehitysalusta voidaan suunnittelun aikana testata prototyyppejä myös virtuaalisesti ja parannella, mikä lyhentää yrityksen mukaan merkittävästi tehdastesteihin kuluvaa aikaa. Uusi kaksonen voi lyhentää laitteiden käyttöönottoaikaa jopa 60 prosenttia ja markkinoilletuontia 50 prosenttia.

    Reply
  28. Tomi Engdahl says:

    Radar Handles Room Monitoring and Various Other Apps
    Aug. 8, 2022
    Infineon’s Bob Williams demos the company’s radar technology in a home-entertainment room scenario.
    https://www.electronicdesign.com/technologies/test-measurement/video/21248196/electronic-design-radar-handles-room-monitoring-and-various-other-apps?utm_source=EG+ED+Analog+%26+Power+Source&utm_medium=email&utm_campaign=CPS220811069&o_eid=7211D2691390C9R&rdx.identpull=omeda|7211D2691390C9R&oly_enc_id=7211D2691390C9R

    Reply
  29. Tomi Engdahl says:

    Intel May Drop TSMC’s 3nm tGPU From Meteor Lake
    https://www.extremetech.com/computing/338679-intel-may-drop-tsmcs-3nm-tgpu-from-meteor-lake

    Over the past few weeks, there’s been a flurry of rumors about the imperiled GPU tile Intel needs for its 14th generation CPUs, code-named Meteor Lake. Intel planned for TSMC to make the GPU tile on its 3nm process originally. It would then combine it with three other tiles, two made by Intel, the other one by TSMC, to complete the CPU’s design. However, recent reports stated the 3nm tile might be delayed. It wasn’t clear if TSMC couldn’t deliver it on time, or if Intel’s own tiles wouldn’t be ready. Now a new rumor has surfaced saying Intel is cancelling it altogether. Instead, it will just use TSMC’s current 5nm process.

    Reply
  30. Tomi Engdahl says:

    Firm Estimates Intel’s GPU Unit Losses at $3.5 Billion, Suggests Selling It Off
    By Anton Shilov published 1 day ago
    https://www.tomshardware.com/news/intel-gpu-division-losses-estimated-at-3-5-billion-usd

    Intel’s discrete GPU program might be deprecated, thinks JPR

    Reply

Leave a Comment

Your email address will not be published. Required fields are marked *

*

*