Tech trends 2022

The year 2021 was strange, you can read more of it from A 2021 technology retrospective: Strange days indeed. But how strange will 2022 be? Here are some predictions for year 2022:

2022 preview: Will the global computer chip shortage ever end?
The growing demand for computer chips, used in everything from cars to fridges, has collided with the effects of the coronavirus pandemic, leading to a global shortage that is likely to continue through 2022
Read more: https://www.newscientist.com/article/2022-2022-preview-will-the-global-computer-chip-shortage-ever-end/#ixzz7GqrP1H9A

Industry Transforming In Ways Previously Unimaginable
https://semiengineering.com/industry-transforming-in-ways-previously-unimaginable/?cmid=3dedf05d-0284-497a-b015-daf7747872e6

As we look back over 2021, there have certainly been some surprises, but the industry continues to take everything in its stride.

2022 tech themes: A look ahead
https://www.edn.com/2022-tech-themes-a-look-ahead/

The continued COVID-19 question mark: The world quickly and dramatically changed. It hasn’t yet reverted to pre-pandemic characteristics, and it very likely never will. Sad but true, the pandemic isn’t even close to being over yet.
Deep learning’s Cambrian moment: Look at today’s participant-rich deep learning silicon and software market, spanning both training and inference.
The ongoing importance of architecture: As the number of transistors that it’s possible to cost-effectively squeeze onto a sliver of silicon continues to slow, what you build out of those transistors becomes increasingly critical.
Open source processors’ time in the sun: There is a burgeoning RISC-V movement. It’s likely a little-known fact to some of you, that a public domain instruction set for v2 and earlier versions of the Arm ISA exists. And both Sun (with OpenSPARC) and IBM (OpenPOWER) have also joined the open-source silicon movement.
The normalization of remote work (and the “Great Resignation’s” aftershocks): I suspect that, to at least a notable degree, we won’t ever completely return to the “way it was before.” In fact, I’d wager that having a taste of a work-from-home or “hybrid” employment lifestyle is one of the key factors behind the so-called “Great Resignation” that tech and broader media alike inform me is well underway.
The metaverse starts to stir: Perhaps we’ll look back at 2022 as the year when the crossing of the chasm started in earnest.
Autonomy slowly accelerates: 2021 was another year filled with fully autonomous car tests and premature “coming soon” pronouncements; 2022 will likely be the same.
Batteries get ever denser, ever more plentiful, and ever cheaper
Space travel becomes commonplace

Global semiconductor industry forecasts for 2022
https://www.digitimes.com/news/a20211229VL205.html

“2021 is the year that everyone remembered that chip mattered,” said Wired Magazine. So far 2022 seems likely to be another fruitful year for the semiconductor industry.

World Semiconductor Trade Statistics (WSTS) also has predicted that the global semiconductor market is projected to grow by 8.8 percent in 2022, to US$ 601 billion, driven by double-digit growth of the sensors and logic category. All regions and all product categories are expected to continue positive growth. Wafer foundry manufacturers sales likely to remain strong due to tight supply. 5G smartphone silicon content increase to drive demand for foundry service higher. Demand for digital transformation is here to stay, no sign of weakening for foundry service sales.

The COVID-19 pandemic accelerated digital transformation over the past two years. Work from home, virtual conference, and remote learning have driven up the demand for cloud computing, laptops, and servers, and hence the sales growth of related semiconductor products. Demands for CPU, GPU, AI accelerator (including FPGA) foundry services will remain strong in 2022 because trends such as virtual conferences, live streaming, and large capex of data centers are likely to stay. Long-term demands for customized chips in IoT, 5G infrastructure, HPC, and EV applications, like ADAS, autonomous driving, V2X, in-Vehicle Infotainment, will provide robust growth momentum for chip foundry services.

Chip crunch is not ending in 2022, as the lead time of some electronic components is stretching into 2023. Meanwhile, the increasing adoption of RISC-V open standard instruction set architecture is an important trend that can not be ignored. RISC-V market will double its size in 2022, compared to 2021, as it is attracting small and medium-size chip designers and manufacturers, especially those in China. RISC-V designs are now being used by Qualcomm, Samsung, Google, Microchip, Nvidia, and more.

Taiwan’s chip industry emerges as a battlefront in US-China showdown
https://www.taipeitimes.com/News/editorials/archives/2022/01/01/2003770517

The country dominates production of chips used in almost all civilian and military technologies. That leaves the US and Chinese economies reliant on plants that would be in the line of fire in an attack on Taiwan. The vulnerability is stoking alarm in Washington

40 prosenttia pienempiä latureita
https://etn.fi/index.php?option=com_content&view=article&id=12981&via=n&datum=2021-12-20_14:53:12&mottagare=30929

The size of a standard mobile phone charger can be reduced by up to 40 percent when using GaN components or it can be designed to produce more power in the same size. GaN chargers are becoming the most popular charger technology for billions of devices, so it’s no wonder that European semiconductor giant STMicroelectronics is also excited about them.

1,320 Comments

  1. Tomi Engdahl says:

    Kumpi on pelaajan näyttöväylä – HDMI vai DisplayPort?
    https://etn.fi/index.php/13-news/14122-kumpi-on-pelaajan-naeyttoevaeylae-hdmi-vai-displayport

    Pelaaja haluaa mahdollisimman paljon ja mahdollisimman nopeasti päivittyviä pikseleitä ruudulleen. Mutta millä väylällä data kannattaa siirtää näytölle? Mikä on pelaajan näyttöväylä? Lyhyt vastaus on, että molemmat tekniikat ovat uusimmissa versioissaan – HDMI 2.1 ja D 2.0 – suorituskyvyltään riittäviä. Tämä ei tietenkään riitä, vaan todellisella harrastajalla pitää olla käytössään parasta mahdollista.

    HDMI 2.1 paransi linkin nopeutta, sillä kellosignaali siirtyy datasignaalin sisällä. Näin kaikki neljä linjaa siirtävät dataa. Maksiminopeus on 42 gigabittiä sekunnissa ja todellinen datanopeus 42,6 gigabittiä sekunnissa. Se riittää päivittämään 4K-ruutua 144 kertaa sekunnissa. Pelaajille resoluutio ei ole yhtä tärkeä kuin pelin päivitysnopeus, joten 4K ei ole peleissä vielä yleistynyt.

    DisplayPortin uusin versio eli 2.0 yltää peräti 80 gigabittiin sekunnissa. Todellinen datanopeus on yi 77 gigabittiä sekunnissa, minkä ansiosta 4K-ruutukin päivittyy 270 kertaa sekunnissa. Todellisen datanopeuden suhteellinen kasvu uusimmassa DP-standardissa selittyy 128b/130b-koodauksella, jonka ansiosta valtaosa kaistasta on täysin databittien käytössä.

    Lukujen perusteella valinta olisi selvä: DisplayPort on selvästi nopeampi väylä. Tällä hetkellä uutta DisplayPort 2.0:aa ei kuitenkaan tue markkinoilla oikein mikään, eivät näytöt eivätkä grafiikkaprosessorit. Suurin osa DP-laitteista tukee versiota 1.4 tai 1.3 ja ne jäävät slevästi HDMI 2.1:n taakse.

    Toisaalta kisa on edelleen auki. Kumpikaan väylä ei pysty välittämään 10-bittistä videokuvaa 8K-ruudulle niin, että kuva päivittyisi 120 kertaa sekunnissa.

    Reply
  2. Tomi Engdahl says:

    Nyt ei ole kiva olla PC-valmistaja
    https://etn.fi/index.php/13-news/14125-nyt-ei-ole-kiva-olla-pc-valmistaja

    7,5 prosenttia. Se on pienin lasku PC-valmistajien liikevaihdossa vuoden kolmannella neljänneksellä. Gartnerin mukaan PC-markkinat romahtivat heinä-syyskuussa 19,5 prosenttia. Koneita myytiin hieman alle 68 miljoonaa.

    Takavuosina PC oli markkinoiden helmi, jonka ikuisesta kasvusta kaikki halusivat osansa. Nokiakin, voivat seniileimmät meistä muistaa. Nuo ajat ovat takanapäin. Kolmannen neljänneksen pudotus oli suurin alalla 20 vuoteen.

    Markkinaosuudet pysyivät käytännössä ennallaan.

    Ja mikä pahinta, missään ei oel nyt näkyvissä uutta moottoria, joka saisi PC-myynnin taas kasvuun.

    Reply
  3. Tomi Engdahl says:

    DisplayPort sovitettiin paremmin USB4-liitäntään
    https://etn.fi/index.php/13-news/14128-displayport-sovitettiin-paremmin-usb4-liitaentaeaen

    Videostandardeja kehittävä VESA on esitellyt uuden version DisplayPort-liitäntästandardista. DP 2.1 ei nosta liitännän datanopeutta, mutta tekee siitä paremmin yhteensopivan tulevia USB4-liitäntöjä varten.

    VESA on työskennellyt tiiviisti jäsenyritysten kanssa varmistaakseen, että myös aiempaa DisplayPort 2.0 -standardia tukevat tuotteet vastaisivat uudempaa, vaativampaa DisplayPort 2.1 -spesifikaatiota. Tämän ponnistelun ansiosta kaikki aiemmin sertifioidut DisplayPort 2.0 -tuotteet, mukaan lukien UHBR-yhteensopivat (Ultra-High Bit Rate) tuotteet – olipa kyseessä sitten GPU:t, telakointiasemasirut, PHY-toistinpiirit, kuten uudelleenajastimet, tai DP40/DP80-kaapelit – on jo sertifioitu tiukempien DisplayPort 2.1 -spesifikaatioiden mukaisesti.

    Mitä uusi 2.1-standardi sitten tuo lisää? VESA on tiukentanut linjausta C-tyypin USB-liittimen ja USB4:n PHY -määrityksen kanssa. Tavoitteena on ennen kaikkea varmistaa se, että videosignaali toimii moitteetta myös ALT-moodissa USB4-linkin yli tunneloitaessa ja USBC-liittimen läpi vietäessä.

    Tämä on vaatinut parannuksia signaalinkäsittelyyn. Esimerkiksi DSC-bittivirtatuki (Display Stream Compression) takaa visuaalisesti häviöttömän signaalin yli 67 prosenttia pienemmällä kaistanleveydellä. Panel Replay -ominaisuus voi puolestaan vähentää DisplayPort-tunnelointipakettien siirtokaistanleveyttä yli 99 prosenttia.

    DisplayPort on ollut alakynnessä HDMI-videoliitännän kanssa, vaikka teknisesti onkin hieman edistyneempi. Esimerkiksi DP 2.0-standardia tukevia laitteita on markkinoille edelleen vain marginaalisesti.

    Reply
  4. Tomi Engdahl says:

    Intel demosi jo seuraavaa Thunderbolt-väylää – nopeus kasvaa kaksinkertaiseksi
    https://etn.fi/index.php/13-news/14139-intel-demosi-jo-seuraavaa-thunderbolt-vaeylaeae-nopeus-kasvaa-kaksinkertaiseksi

    Intel on esitellyt ennakkotietoja ensi vuonna valmistuvasta Thunderbolt 5 -väylästä. jo lukkoon lyötyjen speksien mukaan väylän nopeus kaksinkertaistuu nykyisestä 80 gigabittiin sekunnissa. Lisäksi tuki tulee 120 gigabitin yksisuuntaiselle datalle, kun bittejä siirretään ulkoiselle näytölle.

    Uusi Thunderbolt-väylä perustuu pitkälti tuoreeseen USB4 v2 -väylään, joka niin ikään nostaa datanopeuden 80 gigabittiin sekunnissa molempiin suuntiin. Lisäksi uusi Thunderbolt tukee tuoretta DisplayPort 2.1 -näyttöliitäntästandardia ja on tottakai taaksepäin yhteensopiva aiempien Thunderbolt-, USB- ja DisplayPort-väylien kanssa.

    120 gigabitin näyttöväylä tarkoittaa käytännössä sitä, että sen yli voidaan ajaa dataa HDR-paranneltua 8K-videota. Kaista riittää näin useammaksi vuodeksi eteenpäin.

    Reply
  5. Tomi Engdahl says:

    Bloomberg:
    Sources: the US is exploring the possibility of new export controls that would limit China’s access to quantum computing technology and AI software — The Biden administration is exploring the possibility of new export controls that would limit China’s access to some of the most powerful …

    US Eyes Expanding China Tech Ban to Quantum Computing and AI
    https://www.bloomberg.com/news/articles/2022-10-20/us-eyes-expanding-china-tech-ban-to-quantum-computing-and-ai#xj4y7vzkg

    Early discussions follow restrictions on semiconductors
    US seeking to stifle China’s military, surveillance capacities

    Reply
  6. Tomi Engdahl says:

    Lucky number Android 13: The latest features and updates
    https://blog.google/products/android/android-13/

    Android 13 helps ensure your devices feel unique to you – on your terms. It comes jam-packed with new capabilities for your phone and tablet, like extending app color theming to even more apps, language settings that can be set on an app level, improved privacy controls and even the ability to copy text and media from one Android device and paste it to another with just a click.

    There are many reasons to love Android 13, but here are our top 13:

    Reply
  7. Tomi Engdahl says:

    Invisibility cloaks are not just possible, but are becoming reality
    Long a staple of science-fiction and fantasy, the ability to become invisible would be a revolutionary technological development.
    https://bigthink.com/starts-with-a-bang/invisibility-cloak-183582/#Echobox=1665756855

    Reply
  8. Tomi Engdahl says:

    Financial Times:
    A look at the long-term impact on TSMC’s business as the US seeks to reduce its dependency on Taiwanese chips and cut China off from key semiconductor supplies

    TSMC: the Taiwanese chipmaker caught up in the tech cold war
    https://www.ft.com/content/bae9756a-3bce-4595-b6c9-8082fd735aa0

    Reply
  9. Tomi Engdahl says:

    Project Volterra launches today as the ‘Windows Dev Kit 2023′ with 32GB RAM and costs less than a Mac mini
    By Zac Bowden
    published about 17 hours ago
    Available to order now in 8 markets for just $599.
    https://www.windowscentral.com/software-apps/windows-11/project-volterra-launches-today-as-the-windows-dev-kit-2023-with-32gb-ram-and-costs-less-than-a-mac-mini

    What you need to know

    Microsoft’s Windows on ARM developer kit launches today for just $599!
    It features a Snapdragon 8cx Gen3 and 32GB RAM on the inside.
    It’s available to order now in 8 markets and is called the “Windows Dev Kit 2023.”

    Microsoft’s first Windows on ARM mini-PC developer kit is now available to order. Dubbed the “Windows Dev Kit 2023″ and previously known as Project Volterra, the device features a small compact form factor with Snapdragon’s latest 8cx Gen3 SoC, paired with 32GB RAM and 512GB SSD storage, all for the low price of $599.

    That price undercuts the retail Mac mini, which can be found direct from Apple with 8GB RAM and 256GB SSD storage for $699. This comparison is notable as both PCs are similar in size and are both based on the ARM architecture. Unfortunately, Microsoft is only marketing its mini PC as a developer kit.

    The Windows Dev Kit 2023 was unveiled earlier this year at Build 2022, and was announced alongside a renewed commitment to Windows on ARM as a whole, with the entire developer toolchain being released for ARM, including Visual Studio 2022 17.4 and .NET 7

    Microsoft’s focus on Windows on ARM has never been stronger. Just this month, Microsoft merged the ARM-based Surface Pro X with the Surface Pro 9 under one umbrella product, with the ARM version being exclusively available with 5G and features Microsoft’s custom SQ3 processor.

    The Windows Dev Kit 2023 is equipped with a handful of ports, including two USB-C and three USB-A ports for all kinds of external peripherals. It can output to up to two 4K monitors at 60Hz, and thanks to the ARM SoC, is energy efficient enough that the device is set to go into connected standby when idle instead of hibernating by default.

    Although the Windows Dev Kit 2023 is advertised as a developer kit for devs, it may also serve well as an all-purpose mini desktop PC for the home office. It can be ordered directly from the Microsoft Store, and Microsoft isn’t requiring you to “prove” you’re a developer first before you can order one.

    Microsoft tells Windows Central that the Windows Dev Kit 2023 is exclusive to Windows 11, with no official support for running other operating systems such as Linux or even Windows 10 on ARM. The product is designed for developers looking to optimize their apps for ARM on top of Windows 11.

    Windows Dev Kit 2023 is available to order today in 8 markets, those being the United States, United Kingdom, France, Germany, Japan, China, Canada, and Australia.

    Reply
  10. Tomi Engdahl says:

    After Nvidia’s cable-melting issues with the new 12VHPWR connection, an AMD executive confirms that its next-gen Radeon cards won’t be using it.

    AMD says it won’t use ATX 3.0 power rails for new Radeon cards
    https://www.pcworld.com/article/1361668/amd-says-it-wont-use-atx-3-0-power-rails-for-radeon-6000-cards.html

    After Nvidia’s issues with the new 12VHPWR connection, an AMD executive confirms that new Radeon cards won’t be using it

    Newer isn’t necessarily better. ATX 3.0 power supplies feature a redesigned 16-pin 12VHPWR power rail that should be ideal for the latest Nvidia RTX 40-series graphics cards, which can carry an astonishing 600 watts in a compact power connection. Just one problem, though: The hardware is apparently have some trouble with… melting.

    After news of the meltdowns made the rounds, an AMD executive made a surprisingly specific revelation about the upcoming RDNA 3 series graphics cards: They won’t be using the next-gen power connection.

    Multiple RTX 4090 owners report melting 12VHPWR power cables
    https://www.pcworld.com/article/1361471/multiple-rtx-4090-owners-report-melting-12vhpwr-power-cables.html

    The latest high-power Nvidia graphics cards are having issues with the new ATX 3.0 power connection

    Nvidia’s latest graphics cards are absolute monsters, both in terms of size and power draw. In fact the ferocious GeForce RTX 4090 uses the brand new 12VHPWR 16-pin power rail design, featured on ATX 3.0 power supplies. Most people don’t have those new breed ATX 3.0 PSUs, however, so Nvidia included a 12VHPWR to 4x 8-pin adapter in the box for use with traditional power supplies. But on a small number of units, those fancy new high-wattage rails and connections seem to be causing problems with the power connection. Two separate Reddit users show the new ATX 3.0 rail failing, melting the plastic around the connector.

    Exactly what’s happened isn’t immediately clear. Some are speculating that the new, tighter configuration of the power connection is causing the internal pins to be bent, or that tight quarters inside a desktop PC case necessitate bending the cables in an awkward direction.

    Since its introduction, several sources have raised concerns about the new 12VHPWR connector design, which can handle up to 600 watts of power. (The RTX 4090 tops out at 450w.) CableMod explicitly warns buyers of the cable not to bend it vertically or horizontally closer than 35mm to the connection head, as doing so could loosen or misalign the power pins and create an uneven load.

    Reply
  11. Tomi Engdahl says:

    Android GO on jo 250 miljoonassa puhelimessa
    https://etn.fi/index.php/13-news/14152-android-go-on-jo-250-miljoonassa-puhelimessa

    Vuonna 2017 Google julkisti Androidista riisutun version, jolle annettiin nimeksi GO. Kyse on kevyemmästä käyttöjärjestelmästä, jota voidaan ajaa vaatimattomalla raudalla. Goolen mukaan Android GO on nyt asennettu noin 250 miljoonaan älypuhelimeen.

    Android GO on kooltaan noin puolet varsinaisen Androidin koodimäärästä. Googlen omat sovellukset ovat koodiltaan pienempiä ja ominaisuuksiltaan riisuttuja. Tarkoitus on ennen kaikkea houkutella vanhoja peruspuhelimia käyttävät Androidin käyttäjiksi.

    Reply
  12. Tomi Engdahl says:

    Takashi Mochizuki / Bloomberg:
    Experts say ballooning mobile game file sizes can winnow out smaller studios, as memory upgrades fail to keep pace resulting in fewer games vying for attention

    Smartphone Storage Space Is the New Turf War for Game Makers
    https://www.bloomberg.com/news/articles/2022-10-25/smartphone-storage-space-is-the-new-turf-war-for-game-makers#xj4y7vzkg

    Games have ballooned in size to lure biggest possible audience
    But memory upgrades haven’t kept pace with player expectations

    From Tokyo to San Francisco, mobile game studios have sparred for years to captivate a fickle audience, fostering an overlooked problem — the average title has become so huge that players can no longer fit more than a few on their phones.

    Japanese games publisher Gree Inc. expects an impending reckoning over escalating costs and ballooning file sizes, as developers pack their games with increasingly intricate graphics, voice acting and larger storylines, all to get players spending. That’s creating a winner-takes-all situation that could winnow out smaller studios in coming years, Gree Senior Vice President Yuta Maeda said in an interview.

    Reply
  13. Tomi Engdahl says:

    Apple earnings see iPhone revenues up, still short of forecast
    https://techcrunch.com/2022/10/27/apple-earnings-see-iphone-revenues-up-still-short-of-forecast/?tpcc=tcplusfacebook

    Sometimes earnings leave you wondering how good is good enough. Take, for example, Apple’s Q4, which finds the iPhone maker beating Wall Street expectations overall but still seeing an extended trading stock dip after iPhone sales were improved and still managing to miss the mark.

    Revenue hit $90.15 billion for the quarter, edging out the $88.9 billion estimates and rising roughly 8% over this time last year. iPhone revenue, too, saw a healthy uptick of 9.6% on the strength of the new iPhone lineup, though the $42.63 billion figure fell short of Wall Street’s $43.21 billion projection

    Reply
  14. Tomi Engdahl says:

    Meta is in trouble
    https://techcrunch.com/2022/10/27/meta-stock-yikes/?tpcc=tcplusfacebook

    A day after weighing in with its third-quarter earnings report, Meta is flailing. The company formerly known as Facebook was in trouble Thursday after uninspiring numbers and an apparent lack of faith in Mark Zuckerberg’s metaverse vision sent its shares plunging by 25%.

    At the time of writing, Meta was trading around $98, down from $130 on Wednesday

    Reply
  15. Tomi Engdahl says:

    Margin Test Solution Simplifies and Speeds PCIe Gen 3 and 4 Analysis
    Oct. 31, 2022
    The new TMT4 Margin Tester challenges the conventions of PCIe testing, offering fast test times, plug-and-play setup, and easy-to-use interface.
    https://www.electronicdesign.com/technologies/test-measurement/video/21253534/electronic-design-margin-test-solution-simplifies-and-speeds-pcie-gen-3-and-gen-4-test

    Reply
  16. Tomi Engdahl says:

    How PCIe Fabrics and RAID Can Unlock the Full Potential of GPUDirect Storage
    July 12, 2022
    High-performance PCIe fabric switches allow for multi-host sharing of drives that support single root I/O virtualization and can dynamically partition a pool of GPUs and NVMe SSDs to further expand the sharing among hosts.
    https://www.electronicdesign.com/industrial-automation/article/21244881/microchip-technology-how-pcie-fabrics-and-raid-can-unlock-the-full-potential-of-gpudirect-storage

    Reply
  17. Tomi Engdahl says:

    Selina Cheng / Wall Street Journal:
    Inside Foxconn’s Zhengzhou iPhone plant as the company uses harsh lockdown measures to try to contain a weekslong COVID-19 outbreak in a crucial ordering period

    Inside a Chinese iPhone Plant, Foxconn Grapples With Covid Chaos
    https://www.wsj.com/articles/inside-a-chinese-iphone-plant-foxconn-grapples-with-covid-chaos-11667097816?mod=djemalertNEWS

    As it adheres to Beijing’s strict epidemic controls, Apple’s biggest smartphone assembler scrambles to keep production lines moving

    Reply
  18. Tomi Engdahl says:

    10 Free Software You Probably Didn’t Know Existed! 2022
    https://www.youtube.com/watch?v=guIZLZVqEgQ

    In this edition of our free software series, we’ll be digging deeper to show you 10 lesser-known free programs that just might be useful for you. Some of which were recommended by viewers in the comments in prior videos. All are available for Windows, with some available for macOS and Linux as well.

    0:00 Intro
    0:21 Document Viewer
    1:03 Android Screen Mirroring
    2:24 File Converter
    3:18 BitTorrent Client
    3:54 Uninstaller
    4:19 Disk Space Analyzer
    5:32 Desktop Publishing
    5:56 Photo Viewer
    6:12 DNS Benchmark
    6:32 Source Code Editor

    Reply
  19. Tomi Engdahl says:

    Why China Can’t make Chips!
    https://www.youtube.com/watch?v=nKURE05_RPI

    China isn’t as high tech as you’ve been told…

    Inside China’s Accelerating Bid for Chip Supremacy
    https://www.youtube.com/watch?v=SUfjtKtkS2U

    Right now the world is dependent on Taiwan for silicon semiconductors called chips, which give devices like iPhones their functionality. This reliance has the U.S. and China both racing for technological independence.

    Reply
  20. Tomi Engdahl says:

    Autovalmistajilta karmea ennustus: vaikeudet eivät helpotakaan, tuotantoa leikattava
    Elektroniikan alihankintaketjujen toimitusvaikeudet eivät näytä helpottavat vieläkään. Autonvalmistajat ovat alkaneet jo alentamaan vuosimallin 2023 autojen tuotantoennusteita.
    https://www.iltalehti.fi/autouutiset/a/83d1c711-7576-4236-8fc1-c3fbd80244a1

    Reply
  21. Tomi Engdahl says:

    North America’s reshoring of the global supply chain
    https://www.freightwaves.com/news/reshoring-north-america-global-supply-chain?j=214399

    Geopolitical strategist Peter Zeihan’s vision of a collapsing supply chain will benefit US — if it can first tackle inflation

    CHATTANOOGA, Tenn. — With manufacturing and labor markets on the decline with North America’s traditional partners in Asia — and with trade with Russia collapsing — North America is in prime position to take advantage by reshoring global sourcing.

    “For the most part we can keep this in America — or at least North America,” said geopolitical strategist Peter Zeihan during the opening keynote Tuesday at FreightWaves’ F3: Future of Freight Festival in Chattanooga, Tennessee.

    Zeihan is the author of the recently published book “The End of the World is Just the Beginning: Mapping the Collapse of Globalization.”

    He said one of the keys to boosting U.S. trade will be reforming the Jones Act, a century-old trade protection law that Zeihan contends boosts transport costs.

    “This would help the country bring manufacturing back on the water in a very big way,” he said. “Mexico is now ahead of Canada from a labor productivity measure, which means that Mexico now needs a low-wage partner. Colombia and Cuba are the obvious candidates. It’s a much simpler system, one that is reliant on things close to home.

    Reply
  22. Tomi Engdahl says:

    TSMC Symposium—Aprisa certified for N5/N4
    By Aprisa Team • June 16, 2022 • 2
    https://blogs.sw.siemens.com/aprisa/2022/06/16/tsmc-symposium-aprisa-certified-for-n5-n4/

    Siemens Digital Industries Software announced that its Aprisa digital implementation solution is certified by TSMC for its industry-leading N5 and N4 advanced process technologies.

    Reply
  23. Tomi Engdahl says:

    This Company is “Open” to Silicon Photonics
    Oct. 25, 2022
    OpenLight’s Tom Mader talks about silicon photonics and advances in lasers, and the launch of his new company.
    https://www.electronicdesign.com/technologies/communications/article/21253372/electronic-design-this-company-is-open-to-silicon-photonics?utm_source=EG+ED+Connected+Solutions&utm_medium=email&utm_campaign=CPS221027053&o_eid=7211D2691390C9R&rdx.identpull=omeda|7211D2691390C9R&oly_enc_id=7211D2691390C9R

    Reply
  24. Tomi Engdahl says:

    TSMC is setting up a new 1-nm chip production facility that will be located in an industrial park in Longtan District in Taiwan….

    TSMC approaching 1 nm with 2D materials breakthrough
    https://www.edn.com/tsmc-approaching-1-nm-with-2d-materials-breakthrough/

    TSMC’s 1-nm chip manufacturing process is starting to take shape. After the findings of its collaboration with MIT and the National University of Taiwan (NTU) were made public this summer, TSMC is reportedly planning a 1-nm fab in Taoyuan, Taiwan. According to a report published in Taiwan News, the new 1-nm chip production facility will be located in an industrial park in Longtan District, where TSMC is already running two semiconductor packaging and testing plants.

    TSMC’s 3-nm chips will enter mass production in the fourth quarter of this year, and N3E, an upgraded version of its 3-nm process node, is touted to start commercial production in the second half of 2023. Next, TSMC’s 2-nm chips are being eagerly anticipated by 2025 at its Baoshan facility in Hsinchu. The 2-nm chips are expected to enable 10% to 15% faster processing while using 25% to 30% less power compared to the fab’s 3-nm chips.

    TSMC sources are quick to point out that its manufacturing technologies beyond the 3-nm node are in the pathfinding stage currently. However, the fact that TSMC is already working on 1-nm technology is a crucial development.

    In May 2022, TSMC announced it has developed key features of the 1-nm process node in collaboration with MIT and NTU, but it’s also clarified that these findings might not necessarily be used in commercial chip production any time soon.

    The breakthrough relates to a new set of materials that can create monolayer—or two-dimensional (2D)—transistors in a chip to scale the overall density by a factor matching the number of layers. The teams at TSMC and MIT have demonstrated low resistance ohmic contacts with a variety of existing semiconductor materials, including molybdenum disulfide (MoS2), tungsten disulfide (WS2), and tungsten diselenide (WSe2).

    In short, using non-silicon materials facilitates very tiny transistors—as small as 1 nm. However, as TSMC researchers acknowledge, the 1-nm process node is not likely to be used for years to come.

    Reply
  25. Tomi Engdahl says:

    IKEA Sends Cease and Desist to Horror Game Over Its Lookalike Setting
    BY
    JULIA ANDERSON
    PUBLISHED 7 DAYS AGO
    IKEA requests an indie game developer make changes to the upcoming horror title The Store is Closed due to similarities between it and its warehouses.
    https://www.cbr.com/ikea-the-store-is-closed-cease-and-desist-horror-game/

    Reply
  26. Tomi Engdahl says:

    Samuel K. Moore / IEEE Spectrum:
    Intel, Samsung, TSMC, and 62 other companies form the Semiconductor Climate Consortium to cut greenhouse gas emissions “throughout the electronics value chain” — At this week’s COP27 climate conference in Egypt, attendees will have a new group to contend with …

    Chip Fabs Go Green
    https://spectrum.ieee.org/semiconductor-fab

    A new consortium of fabs and suppliers wants the semiconductor industry to cut its carbon footprint

    At this week’s COP27 climate conference in Egypt, attendees will have a new group to contend with: an alliance of more than 60 companies involved in the electronics supply chain. Called the Semiconductor Climate Consortium, it formed last week in collaboration with SEMI, the industry association for the electronics manufacturing and design supply chain. The aim is to reduce greenhouse gas emissions “throughout the electronics value chain,” according to SEMI. The founding members have all affirmed support for the Paris Agreement, which is aimed at limiting global warming to 1.5 celsius.

    Founding members include some of the biggest owners of semiconductor fabs, including GlobalFoundries, Intel, Micron, Samsung Electronics , SK Hynix, and TSMC. But it also includes some fabless companies such as AMD, Google, and Microsoft. Suppliers of equipment, chemicals, and packaging technology are also well represented among the founders.

    “SCC members recognize the climate impact of the industry and the need for a heightened focus on collaboration to drive sustainable growth across the value chain,” Mousumi Bhat, vice president of global sustainability programs at SEMI, said in a press release. “We look forward to setting meaningful sustainability goals and helping ensure a healthy environment for future generations.”

    The formation of the consortium comes at a time when construction of new fabs is on the upswing, particularly in the United States. The CHIPS and Science Act, passed in July, provides US $52 billion to expand manufacturing in the country.

    Reply
  27. Tomi Engdahl says:

    3D-Stacked CMOS Takes Moore’s Law to New Heights
    https://spectrum.ieee.org/3d-cmos

    When transistors can’t get any smaller, the only direction is up

    Perhaps the most far-reaching technological achievement over the last 50 years has been the steady march toward ever smaller transistors, fitting them more tightly together, and reducing their power consumption. And yet, ever since the two of us started our careers at Intel more than 20 years ago, we’ve been hearing the alarms that the descent into the infinitesimal was about to end. Yet year after year, brilliant new innovations continue to propel the semiconductor industry further.

    Along this journey, we engineers had to change the transistor’s architecture as we continued to scale down area and power consumption while boosting performance. The “planar” transistor designs that took us through the last half of the 20th century gave way to 3D fin-shaped devices by the first half of the 2010s. Now, these too have an end date in sight, with a new gate-all-around (GAA) structure rolling into production soon. But we have to look even further ahead because our ability to scale down even this new transistor architecture, which we call RibbonFET, has its limits.

    So where will we turn for future scaling? We will continue to look to the third dimension. We’ve created experimental devices that stack atop each other, delivering logic that is 30 to 50 percent smaller. Crucially, the top and bottom devices are of the two complementary types, NMOS and PMOS, that are the foundation of all the logic circuits of the last several decades. We believe this 3D-stacked complementary metal-oxide semiconductor (CMOS), or CFET (complementary field-effect transistor), will be the key to extending Moore’s Law into the next decade.

    Continuous innovation is an essential underpinning of Moore’s Law, but each improvement comes with trade-offs. To understand these trade-offs and how they’re leading us inevitably toward 3D-stacked CMOS, you need a bit of background on transistor operation.

    Stacked CMOS

    One commonality of planar, FinFET, and RibbonFET transistors is that they all use CMOS technology, which, as mentioned, consists of n-type (NMOS) and p-type (PMOS) transistors. CMOS logic became mainstream in the 1980s because it draws significantly less current than do the alternative technologies, notably NMOS-only circuits. Less current also led to greater operating frequencies and higher transistor densities.

    To date, all CMOS technologies place the standard NMOS and PMOS transistor pair side by side. But in a keynote at the IEEE International Electron Devices Meeting (IEDM) in 2019, we introduced the concept of a 3D-stacked transistor that places the NMOS transistor on top of the PMOS transistor. The following year, at IEDM 2020, we presented the design for the first logic circuit using this 3D technique, an inverter. Combined with appropriate interconnects, the 3D-stacked CMOS approach effectively cuts the inverter footprint in half, doubling the area density and further pushing the limits of Moore’s Law.

    Taking advantage of the potential benefits of 3D stacking means solving a number of process integration challenges, some of which will stretch the limits of CMOS fabrication.

    We built the 3D-stacked CMOS inverter using what is known as a self-aligned process, in which both transistors are constructed in one manufacturing step. This means constructing both n-type and p-type sources and drains by epitaxy—crystal deposition—and adding different metal gates for the two transistors.

    The process might seem complex, but it’s better than the alternative—a technology called sequential 3D-stacked CMOS. With that method, the NMOS devices and the PMOS devices are built on separate wafers, the two are bonded, and the PMOS layer is transferred to the NMOS wafer. In comparison, the self-aligned 3D process takes fewer manufacturing steps and keeps a tighter rein on manufacturing cost, something we demonstrated in research and reported at IEDM 2019.

    Importantly, the self-aligned method also circumvents the problem of misalignment that can occur when bonding two wafers. Still, sequential 3D stacking is being explored to facilitate integration of silicon with nonsilicon channel materials, such as germanium and III-V semiconductor materials. These approaches and materials may become relevant as we look to tightly integrate optoelectronics and other functions on a single chip.

    The new self-aligned CMOS process, and the 3D-stacked CMOS it creates, work well and appear to have substantial room for further miniaturization. At this early stage, that’s highly encouraging. Devices having a gate length of 75 nm demonstrated both the low leakage that comes with excellent device scalability and a high on-state current. Another promising sign: We’ve made wafers where the smallest distance between two sets of stacked devices is only 55 nm. While the device performance results we achieved are not records in and of themselves, they do compare well with individual nonstacked control devices built on the same wafer with the same processing.

    The Future of Moore’s Law

    With RibbonFETs and 3D CMOS, we have a clear path to extend Moore’s Law beyond 2024.

    With the move to FinFETs, the ensuing optimizations, and now the development of RibbonFETs and eventually 3D-stacked CMOS, supported by the myriad packaging enhancements around them, we’d like to think Mr. Moore will be amazed yet again.

    Reply
  28. Tomi Engdahl says:

    The Verge Holiday Gift Guide 2022
    Check out our top gift ideas for the tech-savvy and non-techies alike.
    https://www.theverge.com/23435489/holiday-gift-guide-best-ideas-cool-tech

    Reply
  29. Tomi Engdahl says:

    Näytönohjaimia saa taas
    https://etn.fi/index.php/13-news/14216-naeytoenohjaimia-saa-taas

    Viime vuonna ajauduttiin jo tilanteeseen, jossa näytönohjaimia ei saanut ostettua mistään. Tuotanto-ongelmat, pandemia-aika ja kryptovaluuttabuumi johtivat laajoihin saatavuusongelmiin. Nyt tilanne on Hintaopas.fi-palvelun datan perusteella helpottanut.

    Huono saatavuus näkyi myös korkeina hintoina, mutta nyt näytönohjaimia saa lähes puoleen hintaan viime vuoden korkeimpiin tasoihin verrattuna. Näytönohjaimien hinnat lähtivät vuoden 2021 alussa voimakkaaseen nousuun ja olivat korkeimmillaan viime vuoden touko-kesäkuussa. Hinnat pysyttelivät korkealla pitkään, kunnes tämän vuoden alussa tapahtui käänne.

    Tasaisen laskun seurauksena keskihinnat ovat tällä hetkellä noin 45 prosenttia alempana kuin kesäkuussa 2021. Tämän vuoden alusta hinnat ovat laskeneet noin 30 prosenttia. Hintaopas.fi-palvelun Suomen maajohtaja Liisa Matinvesi-Bassettin mukaan markkinoille on muodostunut näytönohjaimista jo ylitarjontaa. – Tämä on kuluttajien kannalta tietysti hyvä asia, sillä hinnat ovat monin paikoin romahtaneet.

    Reply
  30. Tomi Engdahl says:

    The fate of Toshiba and its 116,000 employees hangs in the balance once more, calling for astute financial engineering for a turnaround….

    Toshiba is at crossroads, again
    https://www.edn.com/toshiba-is-at-crossroads-again/

    Toshiba is at crossroads once more, and this time due to friction between two bidding partners for a potential buyout of the Japanese conglomerate selling from semiconductor chips to nuclear equipment. According to a Reuters report, private equity firm Japan Industrial Partners (JIP) plans to retain Toshiba’s CEO Taro Shimada and his management team, which has caused friction with its bidding partner in the first round, the state-backed fund Japan Investment Corp. (JIC).

    Reply
  31. Tomi Engdahl says:

    https://etn.fi/index.php/13-news/14219-mediatekin-uusin-tulee-kasvaville-kaennykkaeprosessorien-markkinoille

    Taiwanilainen Mediatek on Qualcommin jälkeen toiseksi suurin älypuhelimien sovellusprosessorien toimittaja. Yhtiön uusin piiri on Dimensity 1080, jolla se lähtee haastamaan Qualcommia Android-puhelinten prosessoreissa yhä tiukemmin.

    Älypuhelinprosessorien markkinoilla menee nyt kovaa. Vuoden toisella neljänneksellä markkinat kasvoivat Strategy Analyticsin mukaan peräti 26 prosenttia. Prosessoreja myytiin 8,9 miljardilla dollarilla. Qualcommin osuus kakusta oli 40,4 prosenttia ja Mediatekin 26,3 prosenttia. Apple tulee iPhone-prosessoreillaan kolmantena 25,5 prosentin osuudella. Muille jää vain rippeitä.

    Valmistuttajasta riippumatta peräti 80 prosenttia älypuhelimien sovellusprosessoreista valmistetaan taiwanilaisen TSMC:n linjoilla. Ainoa poikkeus sääntöön tulee Samsungin omista Exynos-prosessoreista.

    Mediatekille Strategy Analytics ei lupaa kovin riemuisaa loppuvuotta. Android-puhelimien menekki ei enää kasva Kiinassa ja paikallinen Unisoc toimittaa prosessorit yhä useammalle kiinalaisvalmistajalle.

    Reply
  32. Tomi Engdahl says:

    Engineers are in High Demand. Most are Not Missing the Opportunity.
    Nov. 7, 2022
    Electronic Design’s latest Salary Survey revealed that 70% of engineers expect to see their compensation go up this year, as employers continue to compete over hard-to-find expertise.
    https://www.electronicdesign.com/resources/industry-insights/article/21254282/electronic-design-engineers-are-in-high-demand-most-are-not-missing-the-opportunity?utm_source=EG+ED+Analog+%26+Power+Source&utm_medium=email&utm_campaign=CPS221103028&o_eid=7211D2691390C9R&rdx.identpull=omeda|7211D2691390C9R&oly_enc_id=7211D2691390C9R

    As electrical and electronics engineers find themselves in high demand, they’re pushing their employers to raise salaries, increase bonuses, and offer other perks to complement their pay increases this year.

    Around 70% of respondents say that they will see their compensation grow in 2022, up from about 60% in the same situation just last year, according to data from the latest annual survey from Electronic Design and Endeavor Business Media’s Design Engineering Group. The results signal that engineers still have a strong hand to play when it comes to getting raises and bonuses, as companies compete over scarce talent to fill open positions.

    Only a fraction of the nearly 600 respondents, ranging from rank-and-file design engineers to those in executive and engineering management roles, anticipate a reduction in their overall pay this year.

    Reply
  33. Tomi Engdahl says:

    https://etn.fi/index.php/13-news/14228-mediatekin-uusin-haastaa-qualcommin-lippulaivat

    Taiwanilainen MediaTek on esitellyt uuden lippulaivaprosessorin 5G-verkossa toimivien Android-älypuhelinten moottoriksi. Dimensity 9200 tukee kaikkia taajuuksia myös millimetrialueella ja se asettaa Qualcommin tulevatkin Snapdragon-piirit kovan haasteen alaiseksi.

    Dimensity 9200 on ensimmäinen älypuhelinsiru, joka integroi yli 3 gigahertsin kellotaajuudella operoivan uuden Arm Cortex X3 -ytimen. Samalla prosessori on ensimmäinen, jossa hyödynnetään Arm Immortalis-G715 -grafiikkaprosessoria. Se pitää sisällään laitteistokiihdytetyn säteenseurannan eli ray tracing -tekniikan.

    Reply

Leave a Comment

Your email address will not be published. Required fields are marked *

*

*