Electronics trends for 2016

Here is my list of electronics industry trends and predictions for 2016:

There was a huge set of  mega mergers in electronics industry announced in 2015. In 2016 we will see less mergers and how well the existing mergers went. Not all of the major acquisitions will succeed. Probably the the biggest challenge in these mega-mergers is “creating merging cultures or–better yet–creating new ones”.

Makers and open hardware will boost innovation in 2016. Open source has worked well in the software community, and it is coming more to hardware side. Maker culture encourages people be creators of technology rather than just consumers of itA combination of the maker movement and robotics is preparing children for a future in which innovation and creativity will be more important than ever: robotics is an effective way for children as young as four years old to get experience in the STEM fields of science, technology, engineering, mathematics as well as programming and computer science. The maker movement is inspiring children to tinker-to-learn. Popular DIY electronics platforms include Arduino, Lego Mindstorms, Raspberry Pi, Phiro and LittleBits. Some of those DIY electronics platforms like Arduino and Raspberry Pi are finding their ways into commercial products for example in 3D printing, industrial automation and Internet of Things application fields.

Open source processors core gains more traction in 2016. RISC-V is on the march as an open source alternative to ARM and Mips. Fifteen sponsors, including a handful of high tech giants, are queuing up to be the first members of its new trade group for RISC-V. Currently RISC-V runs Linux and NetBSD, but not Android, Windows or any major embedded RTOSes. Support for other operating systems is expected in 2016. For other open source processor designs, take a look at OpenCores.org, the world’s largest site/community for development of hardware IP cores as open source.

crystalball

GaN will be more widely used and talked about in 2016. Gallium nitride (GaN) is a binary III/V direct bandgap semiconductor commonly used in bright light-emitting diodes since the 1990s. It has special properties for applications in optoelectronic, high-power and high-frequency devices. You will see more GaN power electronics components because GaN – in comparison to the best silicon alternative – will enable higher power density through the ability to switch at high frequencies. You can get GaN devices for example from GaN Systems, Infineon, Macom, and Texas Instruments. The emergence of GaN as the next leap forward in power transistors gives new life to Moore’s Law in power.

Power electronics is becoming more digital and connected in 2016. Software-defined power brings to bear critical need in modern power systems. Digital Power was the beginning of software-defined power using a microcontroller or a DSP. Software-defined power takes this to another level. Connectivity is the key to success for software-defined power and the PMBus will enable the efficient communication and connection between all power devices in computer systems. It seems that power architectures to become software defined, which will take advantage of digital power adaptability and introduce software control to manage the power continuously as operating conditions change. For example  adaptive voltage scaling (AVS) is supported by the AVSBus is contained in the newest PMBus standard V 1.3. The use of power-optimization software algorithms and the concept of the Software Defined Power Architecture (SDPA) are all being seen as part of a brave new future for advanced board-power management.

Nanowires and new forms of memory like RRAM (resistive random access memory) and spintronics are also being researched, and could help scale down chips. Many “exotic” memory technologies are in the lab, and some are even in shipping product: Ferroelectric RAM (FRAM), Resistive RAM (ReRAM), Magnetoresistive RAM (MRAM), Nano-RAM (NRAM).

Nanotube research has been ongoing since 1991, but there has been long road to get practical nanotube transistor. It seems that we almost have the necessary parts of the puzzle in 2016. In 2015 IBM reported a successful auto-alligment method for placing them across the source and drain. Texas Instruments is now capable of growing wafer scale graphene and the Chinese have taken the lead in developing both graphene and nanotubes according to Lux Research.

While nanotubes provide the fastest channel material available today, III-V materials like gallium arsenide (GaAs) and indium gallium arsenide (InGaAs) are all being explored by IBM, Intel, Imec and Samsung as transistor channels on silicon substrates. Dozen of researchers worldwide are experimenting with black phosphorus as an alternative to nanotubes and graphene for the next generation of semiconductors. Black phosphorus has the advantage of having a bandgap and works well alongside silicon photonics device. 3-Molybdenum disulphide MoS2 is also a contender for the next generation of semiconductors, due to its novel stacking properties.

Graphene has many fantastic properties and there has been new finding in it. I think it would be a good idea to follow development around magnetized graphene. Researchers make graphene magnetic, clearing the way for faster everything. I don’t expect practical products in 2016, but maybe something in next few years.

Optical communications is integrating deep into chips finally. There are many new contenders on the horizon for the true “next-generation” of optical communications with promising technologies in development in labs and research departments around the world. Silicon photonics is the study and application of photonic systems which use silicon as an optical medium. Silicon photonic devices can be made using existing semiconductor fabrication. Now we start to have technology to build optoelectronic microprocessors built using existing chip manufacturing. Engineers demo first processor that uses light for ultrafast communications. Optical communication could also potentially reduce chips’ power consumption on inter-chip-links and enable easily longer very fast links between ICs where needed. Two-dimensional (2D) transition metal dichalcogenides (TMDCs), which may enable engineers to exceed the properties of silicon in terms of energy efficiency and speed, moving researchers toward 2D on-chip optoelectronics for high-performance applications in optical communications and computing. To build practical systems with those ICs, we need to figure out how make easily fiber-to-chip coupling or how to manufacture practical optical printed circuit board (O-PCB).

Look development at self-directed assembly.Researchers from the National Institute of Standards and Technology (NIST) and IBM have discovered a trenching capability that could be harnessed for building devices through self-directed assembly. The capability could potentially be used to integrate lasers, sensors, wave guides and other optical components into so called “lab-on-a-chip” devices.

crystalball

Smaller chip geometries are come to mainstream in 2016. Chip advancements and cost savings slowed down with the current 14-nanometer process, which is used to make its latest PC, server and mobile chips. Other manufacturers are catching to 14 nm and beyond. GlobalFoundries start producing a central processing chip as well as a graphics processing chip using 14nm technology. After a lapse, Intel looks to catch up with Moore’s Law again with with upcoming 10-nanometer and 7-nm processes. Samsung revealed that it will soon begin production of a 10nm FinFET node, and that the chip will be in full production by the end of 2016. This is expected to be at around the same time as rival TSMC. TSMC 10nm process will require triple patterning. For mass marker products it seems that 10nm node, is still at least a year away. Intel delayed plans for 10nm processors while TSMC is stepping on the gas, hoping to attract business from the likes of Apple. The first Intel 10-nm chips, code-named Cannonlake, will ship in 2017.

Looks like Moore’s Law has some life in it yet, though for IBM creating a 7nm chip required exotic techniques and materials. IBM Research showed in 2015 a 7nm chip will hold 20 billion transistors manufactured by perfecting EUV lithography and using silicon-germanium channels for its finned field-effect transistors (FinFETs). Also Intel revealed that the end of the road for Silicon is nearing as alternative materials will be required for the 7nm node and beyond. Scaling Silicon transistors down has become increasingly difficult and expensive and at around 7nm it will prove to be downright impossible. IBM development partner Samsung is in a race to catch up with Intel by 2018 when the first 7nm products are expected. Expect Silicon Alternatives Coming By 2020One very promising short-term Silicon alternative is III-V semiconductor based on two compounds: Indium gallium arsenide ( InGaAs ) and indium phosphide (InP). Intel’s future mobile chips may have some components based on gallium nitride (GaN), which is also an exotic III-V material.

Silicon and traditional technologies continue to be still pushed forward in 2016 successfully. It seems that the extension of 193nm immersion to 7nm and beyond is possible, yet it would require octuple patterning and other steps that would increase production costs. IBM Research earlier this year beat Intel to the 7nm node by perfecting EUV lithography and using silicon-germanium channels for its finned field-effect transistors (FinFETs). Taiwan Semiconductor Manufacturing Co. (TSMC), the world’s largest foundry, said it has started work on a 5nm process to push ahead its most advanced technology. TSMC’s initial development work at 5nm may be yet another indication that EUV has been set back as an eventual replacement for immersion lithography.

It seems that 2016 could be the year for mass-adoption of 3D ICs and 3D memory. For over a decade, the terms 3D ICs and 3D memory have been used to refer to various technologies. 2016 could see some real advances and traction in the field as some truly 3D products are already shipping and more are promised to come soon. The most popular 3D category is that of 3D NAND flash memory: Samsung, Toshiba, Sandisk, Intel and Micron have all announced or started shipping flash that uses 3D silicon structure (we are currently seeing 128Gb-384Gb parts). Micron’s Hybrid Memory Cube (HMC) uses stacked DRAM die and through-silicon vias (TSVs) to create a high-bandwidth RAM subsystem with an abstracted interface (think DRAM with PCIe). Intel and Micron have announced production of a 3D crosspoint architecture high-endurance (1,000× NAND flash) nonvolatile memory.

The success of Apple’s portable computers, smartphones and tablets will lead to the fact that the company will buy as much as 25 per cent of world production of mobile DRAMs in 2016. In 2015 Apple bought 16.5 per cent of mobile DRAM.

crystalball

After COP21 climate change summit reaches deal in Paris environmental compliance 2016 will become stronger business driver. Increasingly, electronics OEMs are realizing that environmental compliance goes beyond being a good corporate citizen. On the agenda for these businesses: climate change, water safety, waste management, and environmental compliance. Keep in mindenvironmental compliance requirements that include the Waste Electrical and Electronic Equipment (WEEE) directive, Restriction of Hazardous Substances Directive 2002/95/EC (RoHS 1), and Registration, Evaluation, Authorization and Restriction of Chemicals (REACH). It’s a legal situation: If you do not comply with regulatory aspects of business, you are out of business. Some companies are leading the parade toward environmental compliance or learning as they go.

Connectivity is proliferating everything from cars to homes, realigning diverse markets. It needs to be done easily for user, reliably, efficiently and securely.It is being reported that communications technologies are responsible for about 2-4% of all of carbon footprint generated by human activity. The needs for communications and faster speeds is increasing in this every day more and more connected world – penetration of smart devices there was a tremendous increase in the amount of mobile data traffic from 2010 to 2014.Wi-Fi has become so ubiquitous in homes in so many parts of the world that you can now really start tapping into that by having additional devices. When IoT is forecasted to be 50 billion connections by 2020, with the current technologies this would increase power consumption considerably. The coming explosion of the Internet of Things (IoT) will also need more efficient data centers that will be taxed to their limits.

The Internet of Things (IoT) is enabling increased automation on the factory floor and throughout the supply chain, 3D printing is changing how we think about making components, and the cloud and big data are enabling new applications that provide an end-to-end view from the factory floor to the retail store. With all of these technological options converging, it will be hard for CIOs, IT executives, and manufacturing leaders keep up. IoT will also be hard for R&D.Internet of Things (IoT) designs mesh together several design domains in order to successfully develop a product. Individually, these design domains are challenging. Bringing them all together to create an IoT product can place extreme pressure on design teams. It’s still pretty darn tedious to get all these things connected, and there’s all these standards battles coming on. The rise of the Internet of Things and Web services is driving new design principles as Web services from companies such as Amazon, Facebook and Uber are setting new standards for user experiences. Designers should think about building their products so they can learn more about their users and be flexible in creating new ways to satisfy them – but in such way that the user’s don’t feel that they are spied on what they do.

Subthreshold Transistors and MCUs will be hot in 2016 because Internet of Things will be hot in 2016 and it needs very low power chips. The technology is not new as cheap digital watches use FETs operating in the subthreshold region, but decades digital designers have ignored this operating region, because FETs are hard to characterize there. Now subthreshold has invaded the embedded space thanks to Ambiq’s new Apollo MCU. PsiKick Inc. has designed a proof-of-concept wireless sensor node system-chip using conventional EDA tools and a 130nm mixed-signal CMOS that operates with sub-threshold voltages and opening up the prospect of self-powering Internet of Things (IoT) systems. I expect also other sub-threshold designs to emerge. ARM Holdings plc (Cambridge, England) is also working at sub- and near-threshold operation of ICs.  TSMC has developed a series of processes characterized down to near threshold voltages (ULP family for ultra low power are processes). Intel will focus on its IoT strategy and next-generation low voltage mobile processors.

FPGAs in various forms are coming to be more widely use use in 2016 in many applications. They are not no longer limited to high-end aerospace, defense, and high-end industrial applications. There are different ways people use FPGA. Barrier of entry to FPGA development have lowered so that even home makers can use easily FPGAs with cheap FPGA development boards, free tools and open IP cores. There was already lots of interest in 2015 for using FPGA for accelerating computations as the next step after GPU. Intel bought Altera in 2015 and plans in 2016 to begin selling products with a Xeon chip and an Altera FPGA in a single packagepossibly available in early 2016. Examples of applications that would be well-suited for use of ARM-based FPGAs, including industrial robots, pumps for medical devices, electric motor controllers, imaging systems, and machine vision systems. Examples of ARM-based FPGAs are such as Xilinx’s Zynq-7000 and Altera’s Cyclone V intertwine. Some Internet of Things (IoT) application could start to test ARM-based field programmable gate array (FPGA) technology, enabling the hardware to be adaptable to market and consumer demands – software updates on such systems become hardware updates. Other potential benefits would be design re-use, code portability, and security.

crystalball

The trend towards module consolidation is applicable in many industries as the complexity of communication, data rates, data exchanges and networks increases. Consolidating ECU in vehicles is has already been big trend for several years, but the concept in applicable to many markets including medical, industrial and aerospace.

It seems to be that AXIe nears the tipping point in 2016. AXIe is a modular instrument standard similar to PXI in many respects, but utilizing a larger board format that allows higher power instruments and greater rack density. It relies chiefly on the same PCI Express fabric for data communication as PXI. AXIe-1 is the uber high end modular standard and there is also compatible AXIe-0 that aims at being a low cost alternative. Popular measurement standard AXIe, IVI, LXI, PXI, and VXI have two things in common: They each manage standards for the test and measurement industry, and each of those standards is ruled by a private consortium. Why is this?  Right or wrong, it comes down to speed of execution.

These days, a hardware emulator is a stylish, sleek box with fewer cables to manage. The “Big Three” EDA vendors offer hardware emulators in their product portfolios, each with a distinct architecture to give development teams more options. For some offerings emulation has become a datacenter resource through a transaction-based emulation mode or acceleration mode.

LED lighting is expected to become more intelligent, more beautiful, more affordable in 2016. Everyone agrees that the market for LED lighting will continue to enjoy dramatic year-on-year growth for at least the next few years. LED Lighting Market to Reach US$30.5 Billion in 2016 and Professional Lighting Markets to See Explosive Growth. Some companies will win on this growth, but there are also losers. Due currency fluctuations and price slide in 2015, end market demands in different countries have been much lower than expected, so smaller LED companies are facing financial loss pressures. The history of the solar industry to get a good sense of some of the challenges the LED industry will face. Next bankruptcy wave in the LED industry is possible. The LED incandescent replacement bulb market represents only a portion of a much larger market but, in many ways, it is the cutting edge of the industry, currently dealing with many of the challenges other market segments will have to face a few years from now. IoT features are coming to LED lighting, but it seem that one can only hope for interoperability

 

 

Other electronics trends articles to look:

Hot technologies: Looking ahead to 2016 (EDN)

CES Unveiled NY: What consumer electronics will 2016 bring?

Analysts Predict CES 2016 Trends

LEDinside: Top 10 LED Market Trends in 2016

 

961 Comments

  1. Tomi Engdahl says:

    Data Centers Dominate FPGA Event
    http://www.eetimes.com/author.asp?section_id=36&doc_id=1330431&

    In a sign of the times, four out of the five keynote presentations at FPL 2016, a major FPGA conference in Europe, were given by large companies such as IBM, Intel and Microsoft focused on the efficient deployment and use of FPGAs in data centers.

    Christoph Hagleitne presented IBM’s view of the major applications where FPGAs can provide differentiation such as cognitive computing, high performance computing and the Internet of Things. He described two complementary approaches to establish heterogeneous computing systems in cloud datacenters.

    The first approach is based on heterogeneous supernodes that tightly couple compute resources to multi-core CPUs and their coherent memory system via high-bandwidth, low latency interconnects like CAPI or NVlink. The second approach is based on the disaggregation of data center resources where the individual compute, memory, and storage resources are connected via the network fabric and can be individually optimized and scaled in line with the cloud paradigm.

    Hagleitne described IBM’s SupperVessel platform, a cloud service created by IBM Research in Beijing and IBM Systems Labs that is part of the OpenPower initiative. SupperVessel is based on Power processors, with FPGAs and GPUs providing acceleration services, using OpenStack to manage the whole cloud. He also presented the new interfaces IBM’s Power 9 processor will use for fast communication between the processor and the accelerators.

    P. K. Gupta, the general manager of Xeon+FPGA products in Intel’s data center group, said FPGAs can increase the performance of applications such as machine learning, cloud radio-access networks, edge computing and content delivery. Accelerators can increase performance at lower total cost of ownership for targeted workloads, he said.

    FPGAs can be deployed in data centers both in discrete platforms and in integrated platforms in multi-chip packages, Gupta said.

    Finally, Xilinx presented a new open-source framework called Pynq for designing with its Zynq FPGAs. Pynq enables programmers who design embedded systems to exploit the capabilities of SoCs based on Zynq chips without having to use CAD tools to design programmable logic circuits. Instead the SoCs are programmed in Python and the code is developed and tested directly on the embedded system. The programmable logic circuits are imported as hardware libraries and programmed through their APIs, essentially the same way that software libraries are imported and programmed.

    Reply
  2. Tomi Engdahl says:

    EPA Proposes Updates for ENERGY STAR Most Efficient Program
    https://www.eeweb.com/company-blog/power_integrations/epa-proposes-updates-for-energy-star-most-efficient-program/

    The U.S Environmental Protection Agency (EPA) currently proposed new requirements for its 2017 Most Efficient (ME) product program. Currently for 2016, the program promotes the highest efficiency ENERGY STAR qualified products within twelve product categories; boilers, ceiling fans, central air conditioners and heat pumps, clothes washers, computer monitors, dishwashers, furnaces, geothermal heat pumps, refrigerator/freezers, televisions, ventilating fans, and windows.
    For 2017, the EPA will retain eleven of those categories and add a new one.

    Moving Closer to a New ENERGY STAR Lamp Specification
    https://www.eeweb.com/company-blog/power_integrations/moving-closer-to-a-new-energy-star-lamp-specification/

    The ENERGY STAR lamp (light bulb) program specification version 2 final draft has already been published by the U.S. Environmental Protection Agency (EPA). The agency and industry stakeholders have been working on the new lamp program requirements for the better part of a year

    Reply
  3. Tomi Engdahl says:

    Chip Process War Heats Up
    Samsung and China are the wild cards
    http://www.eetimes.com/document.asp?doc_id=1330443

    Although volumes are still small, fully depleted silicon-on-insulator could grow rapidly in the wake of Globalfoundries’ plans for a 12nm process. Whether Samsung or a new fab coming up in Shanghai will adopt FD-SOI will be a big factor, said veteran market watcher Handel Jones of International Business Strategies.

    Ironically in a semiconductor industry traditionally focused on the next big thing, the aging 28nm node is likely to be the biggest process off all through 2025, according to the current IBS forecast.

    The FinFET processes adopted by top chip makers Intel, Samsung and TSMC provide the highest performance and lowest power consumption. However in a 14nm equivalent, FD-SOI supports 16.8% lower cost per gate than FinFETs, Jones said. It also provides about 25% lower design cost and risk of needing a re-spin, he added.

    In addition, FD-SOI has a unique ability to dynamically manage power consumption through biasing. The process also delivers superior support for RF given a significantly higher cut-off frequency than FinFETs, said Jones who attended last week’s FD-SOI event in Shanghai.

    “We think smartphone applications processors and modems could adopt 12nm FD-SOI as an alternative to 10nm and potentially 7nm FinFETs,” said Jones.

    “if Samsung came in big time I could see market potential of 40-50% of the 14/16/10nm business covered by FD-SOI — Samsung is the wild card,”

    Overall, “It’s a bit too early” to determine what share FinFET, FD-SOI and planar processes will take

    Reply
  4. Tomi Engdahl says:

    FPGA cores offered for TSMC’s 40ULP process
    http://www.eetimes.com/document.asp?doc_id=1330463&

    Flex Logix’ business model is that of licensing the technology to customers that want to include FPGA fabric in their SoCs and standard products.

    Silicon for the EFLX family for 40ULP is in fabrication at TSMC and is expected to be validated during 4Q16. It is being aimed at providing reconfigurable RTL for microcontrollers and SoCs used in the Internet of Things. The inclusion of FPGA fabric can give a single die multiple functions and hardware accelerator functions and allows chips to be updated or changed at any time after fabrication, even in-system

    Flex Logix, a startup founded in 2014, pitched its first FPGA fabric at system-on-chip (SoC) design on the 28nm HPM process launching in 2015 (see Put FPGAs in your SoCs). The latest family back fills the family and addresses a broader potential customer base.

    Reply
  5. Tomi Engdahl says:

    Touch Standard Rides I3C Link
    MIPI aims to replace proprietary interfaces
    http://www.eetimes.com/document.asp?doc_id=1330451&

    The MIPI Alliance has started work on a standard interface for touch screens using its emerging I3C interconnect announced earlier this year. MIPI Touch, described at a developer’s conference here, aims to simplify work for engineers who currently support a handful of proprietary approaches.

    The interface includes a standard command set for relaying messages between the application processor and other touch components. It aims to replace a variety of approaches using I2C and SPI links the group claims are not well optimized for mobile systems

    The spec, now in a draft to contributors, is expected to be ratified sometime next year. It is being developed by companies including Intel, NXP, Qualcomm, Samsung and Synaptics.

    Reply
  6. Tomi Engdahl says:

    RISC-V MCU Grown in Colombia
    http://www.eetimes.com/author.asp?section_id=36&doc_id=1330445&

    A university group in Colombia developing a RISC-V-based microcontroller is a sign of the country’s intention to make its mark in technology.

    Onchip, a research group focused on integrated systems at the Universidad Industrial de Santander, is working towards the first system-on-chip designed in Colombia. Aiming to contribute to the growth of the open source community, it is designing a 32-bit microcontroller based on the RISC-V instruction set. The 2x2mm chip will be made in a 130nm process and aims to be the equivalent of commercial microcontrollers implemented with an ARM M0 core.

    The group recognized how licensed instruction sets and microprocessor cores restrict the process of modifying a core for performance or adapting it to specific applications. They saw the RISC-V architecture aimed to support research, education and an emerging developer community.

    Reply
  7. Tomi Engdahl says:

    7nm Market Heats Up
    http://semiengineering.com/7nm-market-heats-up/

    The 7nm finFET market is heating up in the foundry business amid the ongoing push to develop chips at advanced nodes.

    Not long ago, TSMC announced plans to enter the 7nm finFET market. In addition, Intel and Samsung are also separately planning to enter the 7nm finFET race.

    Now, GlobalFoundries is formally announcing its 7nm finFET technology. Slated for 2018, GlobalFoundries’ 7nm finFET process will be initially manufactured using 193nm immersion scanners, not extreme ultraviolet (EUV) lithography. The company plans to make an additional multi-billion dollar investment in its Fab 8 facility in New York to enable the development and production for 7nm finFETs.

    Like its rivals, GlobalFoundries is also ramping up 14nm finFETs. But the company is taking a different strategy than its competitors at the next nodes. Unlike Intel, Samsung and TSMC—which plan to roll out finFETs at 10nm—GlobalFoundries is skipping 10nm and moving directly to 7nm.

    “It’s our view that 10nm will be a short node,” said Sanjay Jha, chief executive at GlobalFoundries, at a press event.

    Still, TSMC hopes to be the first player to ramp up 7nm. “Our 7nm technology development is well on track,” said Mark Liu, president and co-CEO of TSMC, in a recent conference call. “(Customers) all have aggressive product tape-out plans in the first half 2017, with volume production planned in early 2018.”

    Reply
  8. Tomi Engdahl says:

    Analog front-end IC linearizes sensors
    http://www.edn.com/electronics-products/other/4442679/Analog-front-end-IC-linearizes-sensors?_mc=NL_EDN_EDT_EDN_analog_20160915&cid=NL_EDN_EDT_EDN_analog_20160915&elqTrackId=fa3f13cf1d2b49fa8e76600247288555&elq=ede3bcddc71545cc9e625083f8af47d3&elqaid=33880&elqat=1&elqCampaignId=29612

    A 10-channel temperature-measurement IC, the LTC2986 from Linear Technology directly digitizes any combination of thermocouples, RTDs, thermistors, and external diodes with 0.1°C accuracy and 0.001°C resolution. The analog front-end device combines three 24-bit delta-sigma ADCs with all the necessary excitation and control circuits for each sensor. On-chip EEPROM stores user configuration data and custom sensor coefficients, eliminating IC or sensor programming by a host processor.

    Reply
  9. Tomi Engdahl says:

    Power and energy monitor integrates 300μΩ sense resistor
    http://www.edn.com/design/power-management/4442677/Power-and-energy-monitor-integrates-300-micro-ohm-sense-resistor?_mc=NL_EDN_EDT_EDN_today_20160915&cid=NL_EDN_EDT_EDN_today_20160915&elqTrackId=ce309720fe784d9583b85db3c17c7ae4&elq=f3684816c7684e0dbeba0b9c72ebe75e&elqaid=33889&elqat=1&elqCampaignId=29621

    There are times that a circuit board designer will need to perform an accurate monitoring of a low voltage, high current application. Such power supplies are typically used to power FPGAs, ASICs, and processors. Usually the need was met by using some appropriate components, including a tiny sense resistor, and fashioning a custom design to perform the task.

    Well use your design time wisely and focus upon other difficult design problems because Linear Technology Corporation has introduced the LTC2947 power and energy monitor for 0V to 15V DC supply rails. There are other power and energy monitoring ICs, but most use an external sense resistor to measure the current.

    The power monitor functionality integrates a 300µΩ temperature-compensated sense resistor to alleviate these design tasks

    This 24mm2 solution will provide up to 1.2% accurate energy readings at up to ±30A. When measuring a full-scale current of 30A, the voltage drop over the device’s integrated sense resistor is only about 9mV, causing power dissipation of approximately a quarter watt or about 10mW when measuring a 6A rail.

    Reply
  10. Tomi Engdahl says:

    Enfucell is spinoff from Aalto University laboratories company, which has developed printable, flexible its battery for 10 years. Managing Director Markku Ellilä by now is the time for international commercial breakthrough. This will be done as well as new funding that the listing on the stock exchange within the next 2-3 years.

    Enfucell says it has invested in the development of their batteries to 10 million euros. The result is printed on the battery, which will bend the power source, for example, wearable devices in a way that existing battery technologies can not.

    Enfucell Softbatteryä of primary batteries used in devices. Ellilä according to the standard product, 1.5V batteries the energy density is approximately 50 watt-hours per kilogram.

    - This is comparable to slukema concerning quantities in excess with the metal shell of the battery using zinc-carbon chemistry. The energy density of the alkaline batteries typically have 2-3-fold, and a lithium-based batteries approximately 5-fold compared to these, Ellilä clarified.

    Enfucell one advantage is the company business model. It licensed technology, which already has seven manufacturers in three continents. Enfucell has its own factory to manufacture that can make batches of 500 batteries. – All the items which exceed their own pilot manufacturing capacity of our line, can be ordered directly from licensed manufacturers.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=5056:suomalaisakku-tavoittelee-suuria&catid=13&Itemid=101

    Reply
  11. Tomi Engdahl says:

    Home> Community > Blogs > Day in the Life of a Chip Designer
    Verification “escapes” leave bugs in silicon
    http://www.edn.com/electronics-blogs/day-in-the-life-of-a-chip-designer/4442681/Verification–escapes–leave-bugs-in-silicon?_mc=NL_EDN_EDT_EDN_today_20160919&cid=NL_EDN_EDT_EDN_today_20160919&elqTrackId=66fe51ef3676424f9fa782b2de05a3d0&elq=88a9de9598dc46a5b9bd82247fd4e25f&elqaid=33919&elqat=1&elqCampaignId=29652

    For many years, design verification has been the biggest challenge for any company involved in ASIC design. To address verification challenges for increasing complexity in ASIC designs, over the period of the last couple of decades, various hardware verification languages (HVLs) have emerged. Starting with Verilog as a basic verification language around 2000, various HVLs like Open Vera and System Verilog have gained popularity.

    The semiconductor industry has widely adopted System Verilog as an effective and user-friendly HVL. EDA companies come up with something new every few years to speed up and add value to the verification cycle of an ASIC design. Like HVLs, various methodologies, like eRM, AVM, VMM, OVM, and UVM have become popular in the span of a few years. UVM is the most popular verification methodology that the industry has adopted widely for SV as an HVL. Formal verification techniques are also gaining popularity these days as related tools mature.

    Now, the question that emerges here is, in spite of having powerful HVLs, metrologies, and good verification practices in place, ASIC design companies face verification escapes – bugs missed in design validation/verification

    Reply
  12. Tomi Engdahl says:

    Multi thought of an atomic clock as a massive device that fits closest satellites or other heavy duty systems. However, the latest Micro Semin atomikellpiiri is just a 35-gram weight. It takes the space of just 17 cubic centimeters.

    Microsemi announces the resolution to the delivery point ± 5.0E-11 and the accuracy of the deterioration of the value of ≤ 9.0E-10 per month. The circuit consumes power only 120 milliwatts

    Atomic clocks market is not yet very large. Markets & Markets, this year sold a variety of timer devices of 2.4 billion dollars. The majority of the sum consists of a traditional quartz crystals. Atomic clocks share of the market is about 260 million dollars.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=5069:35-gramman-painoinen-atomikello&catid=13&Itemid=101

    More:
    Quantum™ SA.45s Chip Scale Atomic Clock (CSAC)
    http://www.microsemi.com/products/timing-synchronization-systems/embedded-timing-solutions/components/sa-45s-chip-scale-atomic-clock

    The Microsemi Quantum™ SA.45s CSAC is the world’s first commercially available chip scale atomic clock, providing the accuracy and stability of atomic clock technology while achieving true breakthroughs in reduced size, weight and power consumption.

    A New Class of Applications

    At two orders of magnitude better accuracy than oven-controlled crystal oscillators (OCXOs) - and up to four orders of magnitude better accuracy than temperature-controlled oscillators (TCXOs) - the CSAC’s unmatched portability opens the door to new classes of applications, such as:

    Underwater sensors for seismic research or gas and oil exploration
    Military systems including dismounted IED jammers, dismounted radios, GPS receivers, and unmanned aerial vehicles (UAVs)

    The Quantum SA.45s CSAC produces two outputs, a 10MHz square wave and 1PPS, both in a CMOS 0V to 3.3V format. It also accepts a 1PPS input for synchronization and provides an RS-232 interface for monitoring and control.

    Reply
  13. Tomi Engdahl says:

    ARM Raises Bar for Safety, Determinism
    http://www.eetimes.com/document.asp?doc_id=1330483&

    ARM is rolling out what the company describes as its “most advanced processor for safety.” The new processor, dubbed Cortex-R52, is aimed at automotive, industrial and healthcare applications that demand functional safety.

    The underlying architecture of the new Cortex-R52 processor is ARM v8-R.

    ARM disclosed the core three years ago, describing it at that time as specifically designed for running deterministic, real-time embedded applications.

    Richard York, vice president, embedded marketing at ARM, told EE Times, “Over the last few years alone, the performance requirements in cars, driven by demanding applications such as highly automated driving and electrification, have substantially gone up.”

    Similarly, functional safety is now required in a wide variety of applications. He added, “Drones will hit the main street, robots start interacting with humans — in a safe way.”

    Reply
  14. Tomi Engdahl says:

    Home> Community > Blogs > PowerSource
    ON Semi plus Fairchild Semi equals power management squared for designers
    http://www.edn.com/electronics-blogs/powersource/4442721/ON-Semi-plus-Fairchild-Semi-equals-Power-Management-squared-for-designers?_mc=NL_EDN_EDT_EDN_today_20160920&cid=NL_EDN_EDT_EDN_today_20160920&elqTrackId=6323a0989f7a4adcadc66af44390be27&elq=a5a404063f664f878e0ff392386ea81c&elqaid=33936&elqat=1&elqCampaignId=29668

    In this industry climate of big acquisitions, especially in the power management segment of our industry, ON Semi just made their move to be a stronger power semiconductor leader by acquiring struggling Fairchild Semi and raising their annual combined revenue to $5B.

    Bringing together two established industry leaders, ON Semiconductor’s acquisition of Fairchild Semiconductor creates one extraordinary company, and a new global Top 10 non-memory semiconductor supplier.

    Reply
  15. Tomi Engdahl says:

    Defect Evolution In Next-Generation Extreme Ultraviolet Lithography
    http://semiengineering.com/defect-evolution-in-next-generation-extreme-ultraviolet-lithography/

    How to test process changes and variability under complex patterning schemes and process flows.

    Extreme ultraviolet (EUV) lithography is a promising next generation lithography technology that may succeed optical lithography at future technology nodes. EUV mask infrastructure and manufacturing of defect-free EUV mask blanks is a key near term challenge in the use of EUV lithography.

    Virtual fabrication is a computerized technique to perform predictive, three dimensional modeling of semiconductor fabrication processes.

    Reply
  16. Tomi Engdahl says:

    Envelope Tracking Fundamentals and Test Solutions
    http://www.ni.com/white-paper/14694/en/

    There was once a time when your cell phone could go for days without needing to be recharged. Today, despite the innovations in cell phone battery technology, new demands, such as more internal radios and larger and higher resolution screens, place a greater drain on battery life than ever before. As a result, engineers must consistently innovate to reduce the power consumption as new technology is added to a cell phone. Today, envelope tracking (ET) is an increasingly popular technique used to optimize the power-added efficiency (PAE) of the RF power amplifier (PA) which is one of the primary drains on battery life. This article covers the fundamentals of ET using data gathered from an RF PA to identify crucial ET parameters. Based on these parameters, a PXI-based measurement setup is proposed and analyzed that meets the stringent requirements of ET test.

    Reply
  17. Tomi Engdahl says:

    Home> Tools & Learning> Products> Product Brief
    Regulator permits current sharing to 300A
    http://www.edn.com/electronics-products/other/4442709/Regulator-permits-current-sharing-to-300A?_mc=NL_EDN_EDT_EDN_today_20160921&cid=NL_EDN_EDT_EDN_today_20160921&elqTrackId=41529c209232434fa347693f107eba3c&elq=8eb38b7ef90247c6be91775c2fb37ec7&elqaid=33956&elqat=1&elqCampaignId=29686

    The LTM4650-1 current-mode, step-down µModule regulator from Linear Technology furnishes a dual 25-A or single 50-A output. With synchronized multiphase parallel current sharing, six devices can deliver up to 300 A to power sub-28-nm GPUs, FPGAs, ASICs, and microprocessors

    Comprising a DC/DC regulator, inductors, and MOSFETs, the LTM4650-1A guarantees ±0.8% total DC voltage accuracy over reference, line, load, and temperature (-40°C to +125°C). A B-grade variant guarantees ±1.5% total DC voltage accuracy.

    http://www.linear.com/product/ltm4650-1

    Reply
  18. Tomi Engdahl says:

    ON Semi Deepens Power Stake with Fairchild Acquisition
    http://www.eetimes.com/author.asp?section_id=36&doc_id=1330495&

    The semiconductor industry consolidation continues. Companies with power management technology and products appear to be good bets.

    ON Semiconductor announced Monday (Sept 19) the completion of its proposal to acquire Fairchild Semiconductor. The purchase, for $2.4 billion in cash, gives ON Semiconductor an expanded position in power semiconductors, particularly power transistors and diodes. The companies’ press materials suggest that the new $5-billion entity is now the number two in power transistor and diode shipments.

    The acquisition of Fairchild Semiconductor is among the latest in a series of pairings reflecting industry-wide consolidation. It demonstrates a shared perception that semiconductors is now a BIG COMPANY business; that BIGNESS is a virtue enabling more rapid technology deployments and larger manufacturing capabilities — two conditions for success in a market whose growth has considerably slowed. This consolidation has proceeded for several years now, encouraged by the ultra-low price of capital, and — with multi-billion-dollar companies acquiring other multi-billion-dollar companies — few people are shocked at the scale of such acquisitions (like Avago’s acquisition of Broadcom for $37 billion, or SoftBank’s $32 billion acquisition of ARM Holdings).

    Reply
  19. Tomi Engdahl says:

    The semiconductor industry is a precarious situation. Future Horizons predicts that the size of the market may have peaked within the next two years. between an optimistic and a negative prognosis is now a big difference.

    Future Horizons CEO Malcolm Penn, a good development would mean that the integrated circuits are sold this year to 325 billion dollars and 348 billion next year. In this scenario, the growth should be 2.8 percent.

    If this year’s final quarter of the captured minus sign, end up this year to 321.4 billion US dollars next year, and there is a risk to stay less than five per cent of this number.

    Penn says that the problem is the fact that the end of waning PC sales and the growth of smart phone sales have taken a long-term engines on the market. His replacement has not yet entered nothing and because the economic situation is still uncertain, optimism is not just cause.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=5123:puolijohdemarkkina-voi-kaantya-laskuun&catid=13&Itemid=101

    Reply
  20. Tomi Engdahl says:

    IC Insights Trims IoT Chip Market Forecast
    http://www.eetimes.com/document.asp?doc_id=1330422&

    IC Insights reduced the IoT semiconductor market’s projected compound annual growth rate (CAGR) — between 2014 and 2019 — from an original projection of 21.1 percent to 19.9 percent.

    Hence, semiconductor sales for IoT system functions in 2019 are now expected to reach $29.6 billion in 2019, instead of $31.1 billion, according to IC Insights.

    The market research firm’s slimmed IoT market forecast was triggered by lower sales projections for connected cities applications, said IC Insights. The connected cities segment, as IC Insights defines it, include smart electric meters and infrastructure.

    The new outlook shows that semiconductor revenues for connected cities applications are projected to grow by a CAGR of 12.9% between 2014 and 2019, down from 15.5% in the original forecast.

    IC Insights breaks down the IoT market in five segments: connected cities, connected vehicles, wearable systems, connected homes and industrial Internet.

    “We count everything in a system that’s essential to connectivity to Internet.”

    IC Insights sometimes include sensors [in the IoT semiconductor mix] if sensors are served by cloud computing. “We count them in IoT semiconductors because if a system isn’t connected to the Internet, those sensors wouldn’t be there.”

    Lineback, however, noted, “We do not consider smartphones as IoT devices.” Nor, in his book, is Smart TV.

    Wearable semiconductor sales are projected to rise by a CAGR of 62.2% between 2014 and 2019. Lineback attributed the big increase to the Apple Watch launch in the second quarter of 2015. Between 2015 and 2019, the CAGR is 16.6%, reaching $3.9 billion in wearable semiconductor sales, he noted.

    Connected-home IoT semiconductor sales are forecast to grow by a CAGR of 24.2% between 2014 and 2019 to $1.0 billion in the final year of the forecast.

    Reply
  21. Tomi Engdahl says:

    Chip Market to Go Down, says Future Horizons
    http://www.eetimes.com/document.asp?doc_id=1330520&

    Malcolm Penn, the founder and CEO of Future Horizons Ltd. and usually one of the most bullish of chip market forecasters, thinks 2017 could be a third year of decline for the global semiconductor industry.

    Penn told attendees at his autumn industry forecast seminar that 2016 was already headed towards a 1 percent decline and said political and economic uncertainty — born of incompetence — continues to dull business confidence and recovery.

    Reply
  22. Tomi Engdahl says:

    pMTJ Is Where the MRAM Action Is
    http://www.eetimes.com/document.asp?doc_id=1330528&

    The MRAM market may actually start getting crowded in the next year or so, as another emerging player is looking to commercialize its technology, starting with a sampling program.

    Spin Transfer Technologies (STT), developer of Orthogonal Spin Transfer Magneto-Resistive Random Access Memory technology (OST-MRAM), announced today it has fabricated perpendicular MRAM magnetic tunnel junctions (MTJs) as small as 20nm at its development fab based at the company’s headquarters in Fremont, Calif.

    Reply
  23. Tomi Engdahl says:

    Display: Custom Doesn’t Always Cost More
    http://www.eetimes.com/author.asp?section_id=36&doc_id=1330510&

    Customized displays don’t need to be a complicated and expensive choice for designers and procurement professionals.

    One of the most common misconceptions about display customization among engineers and procurement team members is custom displays cost more. But the opposite is most often true.

    Here’s why.

    A lower system-level cost can often be achieved with a custom display because off-the-shelf displays typically include components unnecessary for the specific application. With a standard off-the-shelf display, you just get what’s available. A standard display may also be larger than required

    Reply
  24. Tomi Engdahl says:

    MesoGlue will not replace solder
    http://www.edn.com/electronics-blogs/anablog/4442738/MesoGlue-will-not-replace-solder?_mc=NL_EDN_EDT_EDN_funfriday_20160923&cid=NL_EDN_EDT_EDN_funfriday_20160923&elqTrackId=e3a539608ddb4d79aa1619393c112b74&elq=03fe442e9be54fe99993f2c65e778018&elqaid=33998&elqat=1&elqCampaignId=29722

    There has been a minor media frenzy over some very good scientific work at Northeastern University. Researchers discovered that you can put well-spaced silver nanowires on two surfaces you want to bond; one set of nanowires has an indium coating, and the other set has a gallium coating.

    Now you have a room-temperature conductive metallic interface. Better yet, the indium and gallium will continue to diffuse until the alloy moves off its eutectic point, and then it becomes a solid at room temperature.

    The non-technical media are ranting that this will replace soldering. This is what happens when PR departments and media treat a scientific breakthrough as an engineering breakthrough. It starts as a slick glossy abstract (PDF) by the researchers, no doubt with an eye out to department budgets and commercialization. Then the Northeastern University press office gets a hold of it and it might replace solder. A materials trade-paper (23M PDF) picks up on the press office release, and there is a bit more amplification on how this will change the world. Pretty soon the mainstream media pick up the story and its breathless headlines: “Will This Fancy Metallic Glue Kill Soldering?” I love the comment to one fan-boy article, “This seems like a game changer.” No, not really.

    As a writer, I note that stories go from scientific jargon laced with passive voice to click-bait titles asking a rhetorical question. All are no-nos in good technical writing.

    Engineering is science intersected with economics. That is why engineering is harder than science, and that is why it pays better. Engineering is also a continuum of solutions. We already have conductive silver-filled epoxy, and conductive nickel-filled epoxy, and I assume the boffins have whipped up conductive carbon nano-tube epoxies. Scientific papers don’t provide the context for the application.

    Apparently, the researchers do not plate or deposit the indium and gallium.

    There is little detail in this, I assume since they are furiously trying to patent anything they can. Universities are not bastions of pure research anymore. They are start-up incubators.

    This also raises the engineering concern of process control. You have to ensure that the silver wires are the proper size and proper spacing

    To the credit of the team at Northeastern, they use the process for the application of CPU cooling.
    They state that the MesoGlue is 10- to 20-times more thermally conductive than thermal grease.
    This CPU cooling application raises its own engineering questions.

    There are a lot of good engineering principles on display here. After decades in the industry, I was astonished to learn that the thermal conductivity of copper is 8 times better than tin-lead solder. That is the brilliance of MesoGlue. It lets silver wires carry the heat, and the wires present a lot of surface area to the indium-gallium alloy to transfer the heat from one set of wires to the other.

    Glue is great, no doubt. Glue is replacing spot-welds in cars.
    With engineering, it’s always some complex interrelated set of compromises and tradeoffs. It’s another reason I consider engineering to be harder than science.

    While I applaud the science of MesoGlue, it’s still not ready for primetime as an engineering breakthrough. When I can order it from Digi-Key or Arrow, and when its cost will justify the improvement, then it’s of interest to engineers.

    Reply
  25. Tomi Engdahl says:

    Making Manufacturing Sustainable For Chips
    http://semiengineering.com/making-chip-manufacturing-sustainable/

    First in a series: What is sustainability and why does it matter?

    There is widespread agreement that fabs and manufacturers in general should operate in a sustainable way, but what exactly does that mean? And what concrete steps can fabs take toward that goal?

    Once we get past the simplistic “more sustainable is better,” things tend to get pretty fuzzy. Consider the definition of sustainability itself. Corporate responsibility reports and similar documents often talk about “development that balances people, profit, and the planet.”

    For example, a virgin silicon wafer is one of the most highly refined artifacts ever created by humans. Converting quartz sand to electronics-grade silicon consumes tremendous amounts of energy and involves highly toxic intermediate compounds. But how much of this expenditure is attributable to the semiconductor industry, given the parallel markets for solar-grade and metallurgical silicon? If a commodity memory chip and a high-end microprocessor both start with the same raw materials, can one be said to be “more sustainable” than the other?

    At the other end of the supply chain, the amount of precious or toxic metal contained in a single smartphone or tablet is minute, and therefore extremely difficult to recover from a scrapped device. Multiplied by an entire industry that ships billions of devices, though, and the numbers add up. The EPA estimates that recycling 1 million cell phones can yield 24 kg of gold, 250 kg of silver, 9 kg of palladium, and more than 9,000 kg of copper. Worldwide, electronics waste accounts for more than 5% of municipal solid waste. What responsibility do semiconductor manufacturers have for the ultimate disposal of their products?

    Reply
  26. Tomi Engdahl says:

    Frost and Sullivan: PXI dominates modular market, AXIe rising
    http://www.edn.com/electronics-blogs/test-cafe/4442730/Frost-and-Sullivan–PXI-dominates-modular-market–AXIe-rising?_mc=NL_EDN_EDT_EDN_today_20160927&cid=NL_EDN_EDT_EDN_today_20160927&elqTrackId=a6b998fe7b9842dfb5461b44d5a57320&elq=5bf020b22b2040de82eb81bcb16b2321&elqaid=34035&elqat=1&elqCampaignId=29755

    Home> Community > Blogs > Outside the Box
    Frost and Sullivan: PXI dominates modular market, AXIe rising
    Larry Desjardin -September 21, 2016

    inShare
    Save Follow
    PRINT
    PDF
    EMAIL

    In a study entitled VXI, PXI, and AXIe Test and Measurement Market Disrupts Automated Test, Sparking New Growth Opportunities, Frost and Sullivan reported the market size and growth rates of the various instrument standards, updated to include 2015.

    While modular instruments grew at a combined 7.5% rate last year, the three modular standards displayed radically different dynamics.

    VXI, the granddaddy of modular standards, declined 15% over the year before, but still achieved $105M of sales. VXI is in the twilight of its lifecycle, with continued sales driven largely by mil/aero legacy business.

    PXI, including the PXI Express variant, dominates the modular market with $705M of sales in 2015, representing 8.7% growth. While falling below 10% growth may signal a slowing of PXI, this may equally be attributed to another lackluster year for test and measurement.

    The fastest growing of the standards was AXIe, which grew at an impressive 61%. Much of the AXIe growth can be credited to having the smaller base, with total 2015 sales of $63M.

    Reply
  27. Tomi Engdahl says:

    Think Globally, Act Globally
    http://semiengineering.com/think-globally-act-globally/

    Fabs consume huge amount of electricity – does the industry have a responsibility to act sustainably?

    Reply
  28. Tomi Engdahl says:

    GPIO-Controlled RF Cellular Switches
    https://www.eeweb.com/news/gpio-controlled-rf-cellular-switches

    Skyworks rolled out a family of GPIO-controlled, high performance Rf cellular switches for LTE and GSM applications. The SKY13581-676LF (SP2T), SKY13582-676LF (SP3T) and SKY13626-685LF (SP4T) are for LTE, while the SKY13597-684LF (SP4T) is for GSM.

    This new family of switches is ideal for smartphones, data cards and MiFi® hotspot applications. These devices are designed to operate over the complete cellular frequency range including support for Band 42 and Band 43 (up to 3.8 GHz),

    http://www.skyworksinc.com/

    Reply
  29. Tomi Engdahl says:

    Reduce cost and board footprint in your next 8-bit embedded design
    http://www.edn.com/design/power-management/4442747/Reduce-cost-and-board-footprint-in-your-next-8-bit-embedded-design?_mc=NL_EDN_EDT_EDN_today_20160928&cid=NL_EDN_EDT_EDN_today_20160928&elqTrackId=7b7c074347fe4ee69d663c79ede8f1f7&elq=e1019c3f0ae44997a2da6e63f66a39ce&elqaid=34050&elqat=1&elqCampaignId=29770

    Reducing bill of materials (BOM) cost and footprint area are among the top design considerations for microcontroller (MCU)-based embedded designs. One way to achieve these design goals in 8-bit MCU designs with switching converters is to drive these switching converters with a high-frequency clock output rather than with a traditional pulse-width modulation (PWM) output at lower frequencies. This technique enables a reduction in the switching converter inductor size, resulting in reduced BOM cost and board space requirements.

    Switching converters are commonly used to efficiently step-up or step-down voltages within embedded systems.

    Generally, boost converters are operated in “continuous” mode. This means that the inductor in the converter is not fully discharged (i.e., current in the inductor does not reach zero) between switching cycles.

    Some 8-bit MCUs, such as Silicon Labs’ C8051 and EFM8 devices, have the ability to generate PWM outputs with varying duty cycles using the programmable counter array (PCA) module, which means they are well-equipped to drive boost converters in continuous mode. However, the maximum PWM frequency is often as low as 95.7 kHz (the fastest internal oscillator is usually 24.5 MHz, which is then divided by 256 for 8-bit PWM), which is rather slow by switching converter standards. This means that, generally, 8-bit MCUs operating switching converters in continuous mode will require relatively large, and thus expensive, inductors.

    An alternative to continuous mode is “discontinuous” mode in which the inductor current is allowed to reach zero during the discharge period of the switching cycle. This approach has the side effect of complicating the formula for the output voltage

    we can use an arbitrary duty cycle, and then increase the switching frequency as needed to reduce the inductor size and cost.

    switching losses, switching converters are not usually operated at frequencies as high as this, typically operating in the range of 100 kHz to 4 MHz. At a more reasonable switching frequency of 3.062 MHz (a 24.5 MHz SYSCLK divided by eight)

    With this, the required inductor size is reduced to 2.04µH! That’s approximately 1/72nd the size of the continuous mode with PWM example, for the same output characteristics.

    Example circuit and firmware

    As a proof of concept, we have developed a circuit and associated firmware. In the previous example, the characteristics of the circuit are static. As long as Vin is 3V, and the load continues to draw 20 mA at 12V, the MCU merely needs to output a 3.062 MHz square wave to the switching circuit to maintain a stable output.

    Reply
  30. Tomi Engdahl says:

    Qualcomm Mobilizes in Embedded Processors
    http://www.eetimes.com/author.asp?section_id=36&doc_id=1330535&

    While Qualcomm may be late to the embedded market, it brings with it some interesting attributes that will appeal to a number of designers.

    The standard bearer for Qualcomm in embedded has been the Snapdragon 410 which already has a development board, the Dragonboard 410c, and is supported by distributor Arrow Electronics. What changed in this latest announcement is that Qualcomm has extended the life time commitment from five years to 10 years for the new Snapdragon 410e.

    In addition to the 410e, the company announced a second, higher performance Snapdragon 600e processor that will also be sustained for a 10 year life span. Both the 410e and the 600e were released in 2015, which means that Qualcomm is committed to support these chips to the year 2025.

    The Snapdragon 410E processor offers a 1.2GHz quad-core ARM Cortex-A53 CPU complex. The Snapdragon 600e features a 1.5GHz quad-core Qualcomm Krait 300 CPU complex.

    Reply
  31. Tomi Engdahl says:

    RS-485 receivers occupy tiny footprint
    http://www.edn.com/electronics-products/other/4442748/RS-485-receivers-occupy-tiny-footprint?_mc=NL_EDN_EDT_EDN_analog_20160929&cid=NL_EDN_EDT_EDN_analog_20160929&elqTrackId=ac57bae42cba41019f1b9648dcc1086e&elq=1bf46ad9b61843d6bcfb68b829f9bd24&elqaid=34083&elqat=1&elqCampaignId=29794

    Delivering data rates of 52 Mbps, RS-485/RS-422 receivers in the XR3318x series from Exar come in 3×3-mm, 5-pin or 6-pin TSOT23 packages, useful for point-to-point applications where space is critical. The series comprises four devices—the XR33180, XR33181, XR33183, and XR33184—that operate from either a 3.3-V or 5-V supply over an ambient temperature range of -40°C to +125°C. Propagation delay of only 15 ns and receiver skew of just 2 ns maximum improve operation in clock-distribution systems.

    Reply
  32. Tomi Engdahl says:

    CAN transceiver wards off ground potentials
    http://www.edn.com/electronics-products/other/4442749/CAN-transceiver-wards-off-ground-potentials?_mc=NL_EDN_EDT_EDN_analog_20160929&cid=NL_EDN_EDT_EDN_analog_20160929&elqTrackId=eb242d364d60401f98d258811a87eb16&elq=1bf46ad9b61843d6bcfb68b829f9bd24&elqaid=34083&elqat=1&elqCampaignId=29794

    An ISO 11898-2-compliant CAN µModule transceiver and isolator from Linear Technology, the LTM2889 guards against large ground-to-ground differentials and common-mode transients in 3.3-V and 5-V applications. The LTM2889 separates grounds by isolating the CAN transceiver using internal inductive isolation.

    The device implements multiple levels of protection to significantly improve system reliability, including 2500 VRMS of galvanic isolation, ±60 V of bus-voltage fault tolerance, greater than 30 kV/µs of common-mode transient immunity, and ±25 kV HBM ESD protection. It requires no external components, ensuring a robust solution for isolated serial data communications.

    Prices start at $9.96 each in lots of 1000 units.

    http://www.linear.com/product/ltm2889

    Reply
  33. Tomi Engdahl says:

    Home> Community > Blogs > Out-of-this-World Design
    Spacecraft data handling using ARM-based processors
    http://www.edn.com/electronics-blogs/out-of-this-world-design/4442759/Spacecraft-data-handling-using-ARM-based-processors?_mc=NL_EDN_EDT_EDN_today_20160929&cid=NL_EDN_EDT_EDN_today_20160929&elqTrackId=c754281d6fb04347aea703996da701b7&elq=290ef1896d4b4691ac581df3b4812a16&elqaid=34086&elqat=1&elqCampaignId=29797

    Earlier this year I attended ESA and NASA FPGA conferences, SEFUW and MAPLD respectively, and one topic which we discussed was the need for a small, low-power, high performing MCU to replace larger, more dissipative FPGAs. For localised control and processing, such as sensor TT&C or digital control of a voltage regulator, a dedicated MCU would offer a more efficient CPU/DSP option.

    Could the solution lie within our cellular phones (smart and not so smart), our tablets, our cars, our IoT devices, and our wearables? Today, almost 90 billion ARM-based chips are being used globally and many of these contain multiple ARM cores. Currently there are over 450 ARM licensees worldwide!

    The ubiquitous ARM architecture offers small, low-power, high-performance cores, many of which are being used in safety-critical applications, such as car braking systems, power steering, self-driving vehicles, aircraft, medical, railway and industrial control sub-systems, conforming to fail-safe standards including ISO 26262, IEC 61508, DO-254, DO-178, IEC 62304, IEC 61511/13, IEC 62061, and ISO 13849.

    Given that our lives depend on the reliability of ARM-based fail-safe systems every day, could the space industry also benefit from the performance, power, size, ease of use, and accessibility benefits of the ARM architecture?

    Reply
  34. Tomi Engdahl says:

    Q’comm, NXP Discuss Merger, Say Reports
    Deal could be largest in historic M&A rush
    http://www.eetimes.com/document.asp?doc_id=1330548

    Qualcomm is in talks to buy NXP Semiconductors for more than $30 billion, according to multiple press reports. The reports have driven NXP’s stock up about 15% to an estimated market capitalization of about $33 billion.

    If the deal goes ahead, it could become one of the largest in a historic period of consolidation in semiconductors. NXP itself completed in December its merger with Freescale Semiconductors that it valued at $40 billion.

    A combination of the two companies could vie with TSMC as the world’s third largest semiconductor company with annual revenues of nearly $27 billion, according to rankings by IC Insights. They would still be significantly below #2 ranked Samsung at about $40 billion.

    The deal would propel Qualcomm into the embedded and automotive markets where it is seeking inroads amid declining growth in its core smartphone market. NXP was named the largest chip supplier to car makers in an analyst report that said it had a 14.2% share of the $27.4 billion automotive semiconductor market in 2015.

    Reply
  35. Tomi Engdahl says:

    Moving Automotive Test Into The Analog Domain
    http://semiengineering.com/moving-automotive-test-into-the-analog-domain/

    Automotive test solutions risk overlooking the majority of field failures in automotive ICs.

    The amount of electronic content in passenger cars continues to grow rapidly, driven mainly by the integration of various advanced safety features. The industry’s move towards fully autonomous vehicles promises to even further increase the number of these safety features and consequentially, the electronic content required in each vehicle.

    Analog designers and test engineers unfortunately do not have DFT tools comparable to those used by their digital counterparts. A basic prerequisite to automating the generation of analog tests is an automated means of measuring the fault coverage achieved by any test. Although fault simulation for digital circuitry has been commercially available for almost 30 years, analog fault simulation has only been discussed in academic papers and, recently, in a few industrial papers that describe proprietary software. Fortunately, commercial fault simulators for analog circuits are beginning to appear.

    Reply
  36. Tomi Engdahl says:

    Alex Sherman / Bloomberg:
    Sources: NXP Semiconductors hired Qatalyst Partners to help find a buyer while Qualcomm is exploring other large acquisition options in addition to NXP

    NXP Said to Hire Qatalyst Amid Qualcomm Acquisition Interest
    http://www.bloomberg.com/news/articles/2016-09-30/nxp-said-to-hire-qatalyst-amid-qualcomm-acquisition-interest

    Qatalyst will begin a formal sales process to find a buyer for NXP, said the people, asking not to be identified because the information is private. In addition to Qualcomm, Avago Technologies Ltd., Intel Corp. and Samsung Electronics Co. are the most likely to be interested, one of the people said. NXP may also hire a co-adviser, another person said.

    While NXP has grown quickly via its purchase of Freescale Semiconductor at the end of last year, it’s still relatively small compared with companies such as Qualcomm, Intel and the more rapidly expanding Broadcom Ltd. NXP is projected to have more than $9 billion in revenue this year.

    Reply
  37. Tomi Engdahl says:

    The Week In Review: Manufacturing
    http://semiengineering.com/the-week-in-review-manufacturing-131/

    In 2016, growth in the pure-play foundry business will be driven by leading-edge processes, according to IC Insights.

    In fact, the increase in pure-play foundry sales this year is forecast to be almost entirely due to processes at <40nm.

    Some 54% of TSMC’s 2016 revenue is expected to come from <40nm processes
    In 2016, 52% of GlobalFoundries’ sales are forecast to come from <40nm
    In 2016, 18% of UMC’s sales are forecast to come from <40nm production

    Reply
  38. Tomi Engdahl says:

    Todd C. Frankel / Washington Post:
    How cobalt sourced from dangerous mines in DR Congo ends up inside Lithium-ion batteries powering devices made by Apple, Samsung, Tesla, and other tech firms

    The cobalt pipeline
    Tracing the path from deadly hand-dug mines in Congo to consumers’ phones and laptops
    https://www.washingtonpost.com/graphics/business/batteries/congo-cobalt-mining-for-lithium-ion-battery/

    This remote landscape in southern Africa lies at the heart of the world’s mad scramble for cheap cobalt, a mineral essential to the rechargeable lithium-ion batteries that power smartphones, laptops and electric vehicles made by companies such as Apple, Samsung and major automakers.

    The world’s soaring demand for cobalt is at times met by workers, including children, who labor in harsh and dangerous conditions. An estimated 100,000 cobalt miners in Congo use hand tools to dig hundreds of feet underground with little oversight and few safety measures

    The Post traced this cobalt pipeline and, for the first time, showed how cobalt mined in these harsh conditions ends up in popular consumer products.

    Apple, in response to questions from The Post, acknowledged that this cobalt has made its way into its batteries.

    Apple, in response to questions from The Post, acknowledged that this cobalt has made its way into its batteries. The Cupertino, Calif.-based tech giant said that an estimated 20 percent of the cobalt it uses comes from Huayou Cobalt.

    Another Huayou customer, LG Chem, one of the world’s leading battery makers, told The Post it stopped buying Congo-sourced minerals late last year. Samsung SDI, another large battery maker, said that it is conducting an internal investigation but that “to the best of our knowledge,” while the company does use cobalt mined in Congo, it does not come from Huayou.

    Few companies regularly track where their cobalt comes from.

    Yet 60 percent of the world’s cobalt originates in Congo — a chaotic country rife with corruption and a long history of foreign exploitation of its natural resources.

    Reply
  39. Tomi Engdahl says:

    CAN transceiver wards off ground potentials
    http://www.edn.com/electronics-products/other/4442749/CAN-transceiver-wards-off-ground-potentials?_mc=NL_EDN_EDT_EDN_productsandtools_20161003&cid=NL_EDN_EDT_EDN_productsandtools_20161003&elqTrackId=454af83925e545e5b5f76700dab9d66a&elq=c21148ff337e425eb34d639804cb5d15&elqaid=34151&elqat=1&elqCampaignId=29832

    An ISO 11898-2-compliant CAN µModule transceiver and isolator from Linear Technology, the LTM2889 guards against large ground-to-ground differentials and common-mode transients in 3.3-V and 5-V applications. The LTM2889 separates grounds by isolating the CAN transceiver using internal inductive isolation.

    The device implements multiple levels of protection to significantly improve system reliability, including 2500 VRMS of galvanic isolation, ±60 V of bus-voltage fault tolerance, greater than 30 kV/µs of common-mode transient immunity, and ±25 kV HBM ESD protection. It requires no external components, ensuring a robust solution for isolated serial data communications.

    http://www.linear.com/product/ltm2889

    Reply
  40. Tomi Engdahl says:

    Advanced PCB & die-mounting technologies combined for dimension shrinks
    http://www.edn.com/electronics-products/other/4442754/Advanced-PCB—die-mounting-technologies-combined-for-dimension-shrinks?_mc=NL_EDN_EDT_EDN_productsandtools_20161003&cid=NL_EDN_EDT_EDN_productsandtools_20161003&elqTrackId=7d0bee4390994d81b4380e991af268dc&elq=c21148ff337e425eb34d639804cb5d15&elqaid=34151&elqat=1&elqCampaignId=29832

    PCB design and manufacturing company AT&S (Leoben, Austria) has brought together a range of technology options for miniaturization on all interconnection layers, in a “toolbox” that include embedded component packaging (ECP) together with a range of high-end technologies that can be combined as-needed.

    The AT&S Toolbox uses technologies such as insulated metallic substrate (IMS), multilayer, HDI, any-layer, wire-bond-board, flexible PCBs, chip embedding, IC substrates, and interposers.

    Reply
  41. Tomi Engdahl says:

    Shift signoff leftwards into physical design: Product how-to
    http://www.edn.com/electronics-blogs/absolute-eda/4442760/Shift-signoff-leftwards-into-physical-design–Product-how-to?_mc=NL_EDN_EDT_EDN_today_20161003&cid=NL_EDN_EDT_EDN_today_20161003&elqTrackId=d80d52de492e4c4abfa5a28f64d7c709&elq=96414a4287c645e48fa863997e40669d&elqaid=34144&elqat=1&elqCampaignId=29825

    The ma­nufacturing variability inherent in advanced nodes continues to threaten design schedules and design quality. To achieve physical design closure, designs must meet a growing set of complex rules for design (DRC), multi-patterning (MP), and design for manufacturing (DFM).

    Closing a design for all manufacturing requirements—in addition to traditional performance parameters—is becoming a major bottleneck and results in late-stage surprises and delayed time-to-market.

    The traditional physical verification flow of design-then-fix does not work at advanced nodes due to the inherent complexities – new approaches are needed. The assumption that the place and route implementation tool can get “close enough” such that physical verification fixing during signoff is manageable does not hold water anymore. With the introduction of FinFETs and multi-patterning, this assumption begins to break down due to the inherent complexity of the DRC, MP, and DFM rules, and the global nature of the multi-patterning violations. Multi-pattern violations, unlike DRC violations, can impact a significant number of polygons and can span significant distances on the chip.

    Clearly, a new approach is needed, one that can detect and eliminate DRC, MP, and DFM issues early during the physical design stage.

    Reply
  42. Tomi Engdahl says:

    TSMC is already planning one nanometer circuits

    At the moment, we are only starting microcircuits for the production of 10-nanometer process. For example, Intel is planning to start of production next year. Taiwanese TSMC to work for seven nanometer line width and the plan is to move through the five and three-nanometer together nanometers.

    TSMC has already announced that it will begin a 10-nanometer process chips manufactured in production before the end of this year.

    TSMC to produce Apple’s new iPhone models A10 processors. Next year is coming A11 processor, which is likely to be produced in 10 nanometers.

    With contract manufacturers TSMC and UMC Taiwan makes more than one-fifth of all micro-circuits in the world.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=5155:tsmc-suunnittelee-jo-yhden-nanometrin-piireja&catid=13&Itemid=101

    Reply
  43. Tomi Engdahl says:

    GlobalFoundries Updates Roadmap: 7 nm in 2H 2018, EUV Sooner Than Later?
    by Anton Shilov on October 3, 2016 11:00 AM EST
    http://www.anandtech.com/show/10704/globalfoundries-updates-roadmap-7-nm-in-2h-2018

    GlobalFoundries recently announced the first details about its next generation 7 nm manufacturing technology, which is being developed in-house, and revealed plans to start production of chips using the tech in 2018. Initially GlobalFoundries will continue to use deep ultraviolet (DUV) argon fluoride (ArF) excimer lasers with 193 nm wavelength with its 7 nm production process, but over time it hopes to insert extreme ultraviolet lithography (EUV) tools into production flow should their customers need this. Keeping in mind that one of GF’s key customers is AMD, the foundry’s advancements in manufacturing technologies are quite important for the PC market in general.

    GlobalFoundries will use its 7 nm production process to produce high-performance components, such as CPUs, GPUs and SoCs for various applications (mobile, PC, servers, etc.). In general, it means that a number of future products from AMD could be produced using GlobalFoundries’ 7 nm fabrication tech

    Compared to GlobalFoundries’ current leading-edge 14LPP fabrication technology, the initial DUV-only 7 nm process promises over 50% area reduction as well as over 60% power reduction (at the same frequency and complexity) or over 30% performance improvement (at the same power and complexity).

    Having announced its 7 nm manufacturing technology, GlobalFoundries confirmed rumors that it decided to skip the 10 nm fabrication process. It looks like there are at least two reasons for this decision and both lie in the PPASC (power, performance, area, schedule and costs) equation. On the one hand, the company figured out that the PPA advantages of its 10 nm technology over its 14LPP process would not be significant. On the other hand, its schedule and costs would further hinder its competitiveness.

    It remains to be seen how GlobalFoundries’ and TSMC’s 7 nm processes play out, but keep in mind that the former claims that its 7 nm platform is designed to be “EUV-compatible at key levels”

    Samsung currently hopes to use EUV lithography for critical layers with their 7 nm nodes to avoid triple/quadruple patterning, but are being very careful in how they’re wording their plans, saying that they are “reviewing possibilities” of EUV insertion at 7 nm.

    Reply
  44. Tomi Engdahl says:

    RF modules ease prototyping
    http://www.edn.com/electronics-products/other/4442777/RF-modules-ease-prototyping?_mc=NL_EDN_EDT_EDN_productsandtools_20161003&cid=NL_EDN_EDT_EDN_productsandtools_20161003&elqTrackId=65c9d9fb74554334b50f723d25bb6fe0&elq=c21148ff337e425eb34d639804cb5d15&elqaid=34151&elqat=1&elqCampaignId=29832

    Analog Devices’ HMCx RF and microwave connectorized modules aim to shorten the proof-of-concept phase of the design cycle and increase time to market. Based on the company’s MMICs, the hermetically sealed modules afford consistent high quality, reliability, and performance in any application.

    This latest addition to ADI’s portfolio of standard modules includes: the HMC-C582 wideband power amplifier, the HMC-C583 SPST switch, the HMC-C584 digital attenuator, and the HMC7891 limiting amplifier.

    These fully integrated modules are suitable for use in telecommunications, test instrumentation, electronic warfare, aerospace, and radar applications. ADI can also perform additional levels of screening, such as radiation hardening to ensure compliance for space-related applications. All of the new modules are currently in full production.

    Reply
  45. Tomi Engdahl says:

    Quantenna Communications: This $100 Million IPO Is A Video And IoE Play
    http://seekingalpha.com/article/4009594-quantenna-communications-100-million-ipo-video-ioe-play

    Wi-Fi chip maker Quantenna Communications (Pending:QTNA) wants to raise $100 million in an IPO, according to a recent S-1 filing.

    The company is positioned as a premium chipset manufacturer in the rapidly growing Wi-Fi and Internet of Everything markets.

    Fremont, California-based Quantenna was founded in 2005 to develop a family of Wi-Fi chipsets that facilitate faster delivery of HD video streams throughout the network.

    Reply
  46. Tomi Engdahl says:

    Semiconductors in August were sold exactly $ 28 billion in July, the readings came from the growth of 3.5 per cent. The rise of the semiconductor industry is the largest since the spring of 2013, says market in the field next Indsutry Semiconductor Association.

    Particularly strong growth is in the American market.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=5164:kuukaudessa-markkinat-kasvoivat-miljardilla&catid=13&Itemid=101

    Reply
  47. Tomi Engdahl says:

    Software Predicts Power Component Failure
    Algorithms to monitor universal power supplies
    http://www.eetimes.com/document.asp?doc_id=1330578&amp;

    Eaton Corp. (Raleigh, N.C.) claims its cloud-based software ensures that universal power supplies (UPSes) will keep your computers up and running 24/7/365.

    Called PredictPulse Insight, the software monitors the UPS’s components with a rule-based algorithm that tracks the batteries’ discharge history and determines when “80-to-90 percent of the UPS’s lifetime has been used up for the battery, capacitors, fans, air filters, and, if equipped, the power module [inverter, rectifier and the insulated gate bipolar transistor],” said Art Mulligan, product line manager at Eaton Corp.

    Eaton is a variety of Internet of Things (IoT) services that can predict at least 80 to 90 percent of the component failures in a variety of devices, such as vehicle transmissions before they happen.

    Reply
  48. Tomi Engdahl says:

    As integrated circuits as in many data communication and network solutions are increasingly higher frequency bands. Measurement Technologies is the manufacturer of the equipment Keysight together to reach built with the Swedish Chalmers Technical University and Virginia Diodes teraherz level world’s first test of the system.

    The system is based on Keysightin microwave region PNA-X network analyzer, which reaches up to 10 MHz frequency up to 67 gigahertz. 1.5 terahertz measurement area reached Virginia Diodes extension modules.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=5174:ensimmainen-testausjarjestelma-terahertsipiireille-ja-linkeille&catid=13&Itemid=101

    More:
    Keysight, Virginia Diodes, Selected by Chalmers to Create 1st Network, Spectrum System up to 1.5 THz
    http://www.keysight.com/main/editorial.jspx?cc=FI&lc=fin&ckey=2790326&nid=-32497.0.02&id=2790326&cmpid=zzfind1.5thz

    Reply
  49. Tomi Engdahl says:

    Boron may be better to graphene in electronics

    Graphene currently appears in many of the crucial problems in microelectronics as a new material, but for many applications the hard material may be too rigid. Rice University researchers, the two-dimensional version of the boron that is one atom thick film suitable for example for wearable devices better.

    The normal form of boron is a non-metallic material, but one atom thick boren film it is suitable for potentially many uses in electronics. Its advantage over graphene is a “wave-like” structure: According to researchers borofene retains its elasticity silver platform is grown.

    Rice researcher Boris Yakobson says that the graphene material is too hard to applications that need to stretch, bend, or collapse.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=5173:boori-voi-olla-grafeenia-parempi-elektroniikkaan&catid=13&Itemid=101

    Reply

Leave a Comment

Your email address will not be published. Required fields are marked *

*

*