Electronics trends for 2016

Here is my list of electronics industry trends and predictions for 2016:

There was a huge set of  mega mergers in electronics industry announced in 2015. In 2016 we will see less mergers and how well the existing mergers went. Not all of the major acquisitions will succeed. Probably the the biggest challenge in these mega-mergers is “creating merging cultures or–better yet–creating new ones”.

Makers and open hardware will boost innovation in 2016. Open source has worked well in the software community, and it is coming more to hardware side. Maker culture encourages people be creators of technology rather than just consumers of itA combination of the maker movement and robotics is preparing children for a future in which innovation and creativity will be more important than ever: robotics is an effective way for children as young as four years old to get experience in the STEM fields of science, technology, engineering, mathematics as well as programming and computer science. The maker movement is inspiring children to tinker-to-learn. Popular DIY electronics platforms include Arduino, Lego Mindstorms, Raspberry Pi, Phiro and LittleBits. Some of those DIY electronics platforms like Arduino and Raspberry Pi are finding their ways into commercial products for example in 3D printing, industrial automation and Internet of Things application fields.

Open source processors core gains more traction in 2016. RISC-V is on the march as an open source alternative to ARM and Mips. Fifteen sponsors, including a handful of high tech giants, are queuing up to be the first members of its new trade group for RISC-V. Currently RISC-V runs Linux and NetBSD, but not Android, Windows or any major embedded RTOSes. Support for other operating systems is expected in 2016. For other open source processor designs, take a look at OpenCores.org, the world’s largest site/community for development of hardware IP cores as open source.

crystalball

GaN will be more widely used and talked about in 2016. Gallium nitride (GaN) is a binary III/V direct bandgap semiconductor commonly used in bright light-emitting diodes since the 1990s. It has special properties for applications in optoelectronic, high-power and high-frequency devices. You will see more GaN power electronics components because GaN – in comparison to the best silicon alternative – will enable higher power density through the ability to switch at high frequencies. You can get GaN devices for example from GaN Systems, Infineon, Macom, and Texas Instruments. The emergence of GaN as the next leap forward in power transistors gives new life to Moore’s Law in power.

Power electronics is becoming more digital and connected in 2016. Software-defined power brings to bear critical need in modern power systems. Digital Power was the beginning of software-defined power using a microcontroller or a DSP. Software-defined power takes this to another level. Connectivity is the key to success for software-defined power and the PMBus will enable the efficient communication and connection between all power devices in computer systems. It seems that power architectures to become software defined, which will take advantage of digital power adaptability and introduce software control to manage the power continuously as operating conditions change. For example  adaptive voltage scaling (AVS) is supported by the AVSBus is contained in the newest PMBus standard V 1.3. The use of power-optimization software algorithms and the concept of the Software Defined Power Architecture (SDPA) are all being seen as part of a brave new future for advanced board-power management.

Nanowires and new forms of memory like RRAM (resistive random access memory) and spintronics are also being researched, and could help scale down chips. Many “exotic” memory technologies are in the lab, and some are even in shipping product: Ferroelectric RAM (FRAM), Resistive RAM (ReRAM), Magnetoresistive RAM (MRAM), Nano-RAM (NRAM).

Nanotube research has been ongoing since 1991, but there has been long road to get practical nanotube transistor. It seems that we almost have the necessary parts of the puzzle in 2016. In 2015 IBM reported a successful auto-alligment method for placing them across the source and drain. Texas Instruments is now capable of growing wafer scale graphene and the Chinese have taken the lead in developing both graphene and nanotubes according to Lux Research.

While nanotubes provide the fastest channel material available today, III-V materials like gallium arsenide (GaAs) and indium gallium arsenide (InGaAs) are all being explored by IBM, Intel, Imec and Samsung as transistor channels on silicon substrates. Dozen of researchers worldwide are experimenting with black phosphorus as an alternative to nanotubes and graphene for the next generation of semiconductors. Black phosphorus has the advantage of having a bandgap and works well alongside silicon photonics device. 3-Molybdenum disulphide MoS2 is also a contender for the next generation of semiconductors, due to its novel stacking properties.

Graphene has many fantastic properties and there has been new finding in it. I think it would be a good idea to follow development around magnetized graphene. Researchers make graphene magnetic, clearing the way for faster everything. I don’t expect practical products in 2016, but maybe something in next few years.

Optical communications is integrating deep into chips finally. There are many new contenders on the horizon for the true “next-generation” of optical communications with promising technologies in development in labs and research departments around the world. Silicon photonics is the study and application of photonic systems which use silicon as an optical medium. Silicon photonic devices can be made using existing semiconductor fabrication. Now we start to have technology to build optoelectronic microprocessors built using existing chip manufacturing. Engineers demo first processor that uses light for ultrafast communications. Optical communication could also potentially reduce chips’ power consumption on inter-chip-links and enable easily longer very fast links between ICs where needed. Two-dimensional (2D) transition metal dichalcogenides (TMDCs), which may enable engineers to exceed the properties of silicon in terms of energy efficiency and speed, moving researchers toward 2D on-chip optoelectronics for high-performance applications in optical communications and computing. To build practical systems with those ICs, we need to figure out how make easily fiber-to-chip coupling or how to manufacture practical optical printed circuit board (O-PCB).

Look development at self-directed assembly.Researchers from the National Institute of Standards and Technology (NIST) and IBM have discovered a trenching capability that could be harnessed for building devices through self-directed assembly. The capability could potentially be used to integrate lasers, sensors, wave guides and other optical components into so called “lab-on-a-chip” devices.

crystalball

Smaller chip geometries are come to mainstream in 2016. Chip advancements and cost savings slowed down with the current 14-nanometer process, which is used to make its latest PC, server and mobile chips. Other manufacturers are catching to 14 nm and beyond. GlobalFoundries start producing a central processing chip as well as a graphics processing chip using 14nm technology. After a lapse, Intel looks to catch up with Moore’s Law again with with upcoming 10-nanometer and 7-nm processes. Samsung revealed that it will soon begin production of a 10nm FinFET node, and that the chip will be in full production by the end of 2016. This is expected to be at around the same time as rival TSMC. TSMC 10nm process will require triple patterning. For mass marker products it seems that 10nm node, is still at least a year away. Intel delayed plans for 10nm processors while TSMC is stepping on the gas, hoping to attract business from the likes of Apple. The first Intel 10-nm chips, code-named Cannonlake, will ship in 2017.

Looks like Moore’s Law has some life in it yet, though for IBM creating a 7nm chip required exotic techniques and materials. IBM Research showed in 2015 a 7nm chip will hold 20 billion transistors manufactured by perfecting EUV lithography and using silicon-germanium channels for its finned field-effect transistors (FinFETs). Also Intel revealed that the end of the road for Silicon is nearing as alternative materials will be required for the 7nm node and beyond. Scaling Silicon transistors down has become increasingly difficult and expensive and at around 7nm it will prove to be downright impossible. IBM development partner Samsung is in a race to catch up with Intel by 2018 when the first 7nm products are expected. Expect Silicon Alternatives Coming By 2020One very promising short-term Silicon alternative is III-V semiconductor based on two compounds: Indium gallium arsenide ( InGaAs ) and indium phosphide (InP). Intel’s future mobile chips may have some components based on gallium nitride (GaN), which is also an exotic III-V material.

Silicon and traditional technologies continue to be still pushed forward in 2016 successfully. It seems that the extension of 193nm immersion to 7nm and beyond is possible, yet it would require octuple patterning and other steps that would increase production costs. IBM Research earlier this year beat Intel to the 7nm node by perfecting EUV lithography and using silicon-germanium channels for its finned field-effect transistors (FinFETs). Taiwan Semiconductor Manufacturing Co. (TSMC), the world’s largest foundry, said it has started work on a 5nm process to push ahead its most advanced technology. TSMC’s initial development work at 5nm may be yet another indication that EUV has been set back as an eventual replacement for immersion lithography.

It seems that 2016 could be the year for mass-adoption of 3D ICs and 3D memory. For over a decade, the terms 3D ICs and 3D memory have been used to refer to various technologies. 2016 could see some real advances and traction in the field as some truly 3D products are already shipping and more are promised to come soon. The most popular 3D category is that of 3D NAND flash memory: Samsung, Toshiba, Sandisk, Intel and Micron have all announced or started shipping flash that uses 3D silicon structure (we are currently seeing 128Gb-384Gb parts). Micron’s Hybrid Memory Cube (HMC) uses stacked DRAM die and through-silicon vias (TSVs) to create a high-bandwidth RAM subsystem with an abstracted interface (think DRAM with PCIe). Intel and Micron have announced production of a 3D crosspoint architecture high-endurance (1,000× NAND flash) nonvolatile memory.

The success of Apple’s portable computers, smartphones and tablets will lead to the fact that the company will buy as much as 25 per cent of world production of mobile DRAMs in 2016. In 2015 Apple bought 16.5 per cent of mobile DRAM.

crystalball

After COP21 climate change summit reaches deal in Paris environmental compliance 2016 will become stronger business driver. Increasingly, electronics OEMs are realizing that environmental compliance goes beyond being a good corporate citizen. On the agenda for these businesses: climate change, water safety, waste management, and environmental compliance. Keep in mindenvironmental compliance requirements that include the Waste Electrical and Electronic Equipment (WEEE) directive, Restriction of Hazardous Substances Directive 2002/95/EC (RoHS 1), and Registration, Evaluation, Authorization and Restriction of Chemicals (REACH). It’s a legal situation: If you do not comply with regulatory aspects of business, you are out of business. Some companies are leading the parade toward environmental compliance or learning as they go.

Connectivity is proliferating everything from cars to homes, realigning diverse markets. It needs to be done easily for user, reliably, efficiently and securely.It is being reported that communications technologies are responsible for about 2-4% of all of carbon footprint generated by human activity. The needs for communications and faster speeds is increasing in this every day more and more connected world – penetration of smart devices there was a tremendous increase in the amount of mobile data traffic from 2010 to 2014.Wi-Fi has become so ubiquitous in homes in so many parts of the world that you can now really start tapping into that by having additional devices. When IoT is forecasted to be 50 billion connections by 2020, with the current technologies this would increase power consumption considerably. The coming explosion of the Internet of Things (IoT) will also need more efficient data centers that will be taxed to their limits.

The Internet of Things (IoT) is enabling increased automation on the factory floor and throughout the supply chain, 3D printing is changing how we think about making components, and the cloud and big data are enabling new applications that provide an end-to-end view from the factory floor to the retail store. With all of these technological options converging, it will be hard for CIOs, IT executives, and manufacturing leaders keep up. IoT will also be hard for R&D.Internet of Things (IoT) designs mesh together several design domains in order to successfully develop a product. Individually, these design domains are challenging. Bringing them all together to create an IoT product can place extreme pressure on design teams. It’s still pretty darn tedious to get all these things connected, and there’s all these standards battles coming on. The rise of the Internet of Things and Web services is driving new design principles as Web services from companies such as Amazon, Facebook and Uber are setting new standards for user experiences. Designers should think about building their products so they can learn more about their users and be flexible in creating new ways to satisfy them – but in such way that the user’s don’t feel that they are spied on what they do.

Subthreshold Transistors and MCUs will be hot in 2016 because Internet of Things will be hot in 2016 and it needs very low power chips. The technology is not new as cheap digital watches use FETs operating in the subthreshold region, but decades digital designers have ignored this operating region, because FETs are hard to characterize there. Now subthreshold has invaded the embedded space thanks to Ambiq’s new Apollo MCU. PsiKick Inc. has designed a proof-of-concept wireless sensor node system-chip using conventional EDA tools and a 130nm mixed-signal CMOS that operates with sub-threshold voltages and opening up the prospect of self-powering Internet of Things (IoT) systems. I expect also other sub-threshold designs to emerge. ARM Holdings plc (Cambridge, England) is also working at sub- and near-threshold operation of ICs.  TSMC has developed a series of processes characterized down to near threshold voltages (ULP family for ultra low power are processes). Intel will focus on its IoT strategy and next-generation low voltage mobile processors.

FPGAs in various forms are coming to be more widely use use in 2016 in many applications. They are not no longer limited to high-end aerospace, defense, and high-end industrial applications. There are different ways people use FPGA. Barrier of entry to FPGA development have lowered so that even home makers can use easily FPGAs with cheap FPGA development boards, free tools and open IP cores. There was already lots of interest in 2015 for using FPGA for accelerating computations as the next step after GPU. Intel bought Altera in 2015 and plans in 2016 to begin selling products with a Xeon chip and an Altera FPGA in a single packagepossibly available in early 2016. Examples of applications that would be well-suited for use of ARM-based FPGAs, including industrial robots, pumps for medical devices, electric motor controllers, imaging systems, and machine vision systems. Examples of ARM-based FPGAs are such as Xilinx’s Zynq-7000 and Altera’s Cyclone V intertwine. Some Internet of Things (IoT) application could start to test ARM-based field programmable gate array (FPGA) technology, enabling the hardware to be adaptable to market and consumer demands – software updates on such systems become hardware updates. Other potential benefits would be design re-use, code portability, and security.

crystalball

The trend towards module consolidation is applicable in many industries as the complexity of communication, data rates, data exchanges and networks increases. Consolidating ECU in vehicles is has already been big trend for several years, but the concept in applicable to many markets including medical, industrial and aerospace.

It seems to be that AXIe nears the tipping point in 2016. AXIe is a modular instrument standard similar to PXI in many respects, but utilizing a larger board format that allows higher power instruments and greater rack density. It relies chiefly on the same PCI Express fabric for data communication as PXI. AXIe-1 is the uber high end modular standard and there is also compatible AXIe-0 that aims at being a low cost alternative. Popular measurement standard AXIe, IVI, LXI, PXI, and VXI have two things in common: They each manage standards for the test and measurement industry, and each of those standards is ruled by a private consortium. Why is this?  Right or wrong, it comes down to speed of execution.

These days, a hardware emulator is a stylish, sleek box with fewer cables to manage. The “Big Three” EDA vendors offer hardware emulators in their product portfolios, each with a distinct architecture to give development teams more options. For some offerings emulation has become a datacenter resource through a transaction-based emulation mode or acceleration mode.

LED lighting is expected to become more intelligent, more beautiful, more affordable in 2016. Everyone agrees that the market for LED lighting will continue to enjoy dramatic year-on-year growth for at least the next few years. LED Lighting Market to Reach US$30.5 Billion in 2016 and Professional Lighting Markets to See Explosive Growth. Some companies will win on this growth, but there are also losers. Due currency fluctuations and price slide in 2015, end market demands in different countries have been much lower than expected, so smaller LED companies are facing financial loss pressures. The history of the solar industry to get a good sense of some of the challenges the LED industry will face. Next bankruptcy wave in the LED industry is possible. The LED incandescent replacement bulb market represents only a portion of a much larger market but, in many ways, it is the cutting edge of the industry, currently dealing with many of the challenges other market segments will have to face a few years from now. IoT features are coming to LED lighting, but it seem that one can only hope for interoperability

 

 

Other electronics trends articles to look:

Hot technologies: Looking ahead to 2016 (EDN)

CES Unveiled NY: What consumer electronics will 2016 bring?

Analysts Predict CES 2016 Trends

LEDinside: Top 10 LED Market Trends in 2016

 

961 Comments

  1. Tomi Engdahl says:

    IoT: What NXP Has, What Qualcomm Lacks
    http://www.eetimes.com/document.asp?doc_id=1330791&

    NXP Semiconductors is rolling out a Modular IoT Gateway solution this week at Electronica in Munich, one of the largest trade fairs for the electronics industry.

    The launch of NXP’s new IoT Gateway product comes 11 days after Qualcomm officially announced plans to acquire NXP.

    The consensus among industry observers is the Qualcomm/NXP marriage will give birth to a genuine IoT powerhouse. Qualcomm brings cellular connectivity, while NXP brings high data security. The combined companies will address two IoT fundamentals – “connectivity and security” – in unparalleled depth and breadth.

    That’s the high-level view.

    But on a product-by-product level, there are overlaps and conflicts that company brings to the IoT market.

    A broad-brush analysis shows that NXP’s newly unveiled Modular IoT Gateway product, for example, demonstrates the Dutch semiconductor company’s strong presence in the “industrial” IoT market, its unmatched expertise in IoT security and the company’s broad MCU portfolio — areas in which Qualcomm seems to trail NXP.

    NXP’s Modular IoT Gateway
    On the Modular IoT Gateway, Denis Cabrol, NXP’s executive director of MCUs and application processors, told EE Times, “This isn’t a home router that can drive 20 nodes. This is a gateway designed for industrial IoT applications, driving hundreds of nodes or more.”

    Built on an open source Linux platform running on NXP’s latest i.MX processors, the Modular IoT Gateway is set up to connect Thread and ZigBee-based end node devices securely with the cloud through Wi-Fi or Ethernet, NXP explained.

    Cabrol told us, “Bear in mind that many of these IoT end nodes – deployed in such industrial fields as oil rigs — are battery operated and they aren’t always on. They are installed in hard-to-reach places outside.”

    More specifically, NXP’s Modular IoT Gateway — featuring buffer — can help battery-operated end-node devices communicate to the cloud, even without power, Cabrol explained. The gateway solution can commission, control and monitor thousands of end nodes, and “upgrade the code for such devices without crashing the network,” he added.

    The biggest claim for NXP’s Modular IoT Gateway product, however, is in the security it provides to the gateway, which Cabrol described as “the most sensitive portion that’s vulnerable to external attacks.”

    He referred to a series of Distributed Denial of Service (DDoS) attacks caused widespread disruption of legitimate internet activity in the United States on Oct. 21.

    Because IoT gateways can deal with billing, money transfer and other fiduciary activities, it’s vital for operators to know when a gateway has been compromised. Through a combination of high assurance boot, secure key storage, external tamper detection for passive and active events or internal tamper detection for voltage, glitch and differential power analysis protection — all integrated in NXP’s i.MX processors, “We have a way to detect” any such fraud, Cabrol explained.

    NXP vs. Qualcomm on IoT
    Asked to compare NXP with Qualcomm in the IoT space, Mike Demler, senior analyst at The Linley Group, said: “There is really no overlap for IoT, but lots of complementary opportunities.”

    Consider IoT connectivity. “Qualcomm has strengths in Wi-Fi, as well as the emerging cellular NB-IoT, which NXP lacks,” Demler said. “NXP has 802.15.4 for Thread and ZigBee, as well as NFC, which Qualcomm lacks.”

    Meanwhile, Qualcomm has application processors, and NXP has MCUs.

    Looking at each company’s tech portfolio, where’s the “synergy” in IoT?

    Demler said, “It depends on what you count as IoT.” In his opinion, since Qualcomm derives more than 90% of its revenue from mobile, “It’s hard to say Qualcomm is the leader in any IoT applications.”

    Reply
  2. Tomi Engdahl says:

    Electronica 2016: Too Much Electronics
    http://hackaday.com/2016/11/14/electronica-2016-too-much-electronics/

    The Electronica trade show in Munich is so big that it only takes place once every two years. Every manufacturer, distributor, and maker of anything electronic is there. To get a feel for the scale of things, Electronica is spread out over twelve large exhibition halls and is served by two separate subway stations, one on either end. You wouldn’t think there would be so many inductor manufacturers in the world, but you’d be wrong.

    It’s a hardware geek’s paradise, even if it is aimed more at facilitating industry contacts than at serving the humble hacker.

    http://electronica.de/index.html

    Reply
  3. Tomi Engdahl says:

    Semiconductor Sales declined in Europe

    Components distributors sold the July-September semiconductors 1.81 billions of euros. Dmass Organization (Distributors ‘and Manufacturers’ Association of Semiconductor Specialists), the figure is 0.9 per cent lower than the previous year.

    The semiconductor distribution in Germany shrank by 4.4 per cent to EUR 570 million, and four per cent in the Nordic countries to EUR 154 million.

    Growth areas:
    Israel had growth of more than 10 percent.
    Eastern Europe distributors of semiconductors sold for EUR 260 million, which is 5.7 percent more a year ago.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=5395&via=n&datum=2016-11-14_15:07:59&mottagare=30929

    Reply
  4. Tomi Engdahl says:

    Siemens To Buy Mentor For $4.5B
    http://semiengineering.com/siemens-to-buy-mentor-for-4-5b/

    Updated: Deal adds mechanical, thermal, electrical and embedded software capabilities.

    Siemens announced today that it has reached a deal to buy Mentor Graphics for $4.5 billion in cash. The move, if approved by regulators, would greatly expand Siemens’ capabilities in multi-physics design and embedded software for everything from semiconductors to automotive wiring harnesses. The transaction is expected to close in the second quarter of 2017.

    Siemens’ decision to add EDA capabilities to its portfolio is a reflection of just how complex the chip, IP and system development space has become. The company said the Mentor acquisition is part of its Vision 2020 concept for what it calls the “New Industrial Age.” Mentor will be folded into the product lifecycle management software business of Siemens’ Digital Factory (DF) Division.

    “With Mentor, we’re acquiring an established technology leader with a talented employee base that will allow us to supplement our world-class industrial software portfolio,” said Klaus Helmrich, member of the Managing Board of Siemens, in a statement. “It will complement our strong offering in mechanics and software with design, test and simulation of electrical and electronic systems.”

    Reply
  5. Tomi Engdahl says:

    GaN Powers More Than Just Amplifiers
    http://mwrf.com/blog/gan-powers-more-just-amplifiers?NL=MWRF-001&Issue=MWRF-001_20161115_MWRF-001_641&sfvc4enews=42&cl=article_2_b&utm_rid=CPG05000002750211&utm_campaign=8500&utm_medium=email&elq2=5251af63a607464b8af9768b32cef531

    There is no doubt that gallium-nitride (GaN) technology has clearly made a huge impact in the RF/microwave industry. In comparison to older technology, GaN offers various benefits that have already been discussed. Of course, when it comes to GaN, power amplifiers (PAs) are usually the first thing that comes to mind—and rightfully so.

    But one company, Custom MMIC, decided to explore what else GaN could be used for beyond simply amplifiers. The end result of its efforts: GaN-based high-linearity mixers.

    Trantanella described the two main mixing element options when it comes to monolithic-microwave-integrated-circuit- (MMIC-) based mixers. The first is a two-terminal diode, while the second is a three-terminal field-effect transistor (FET). He explained how most GaN processes can support both of these types of mixers. Trantanella then went on to compare GaN with gallium-arsenide (GaAs) pseudomorphic-high-electron-mobility-transistor (pHEMT) technology, demonstrating that GaN can achieve a higher third-order intercept point (IP3)—at the expense of requiring a higher local-oscillator (LO) drive level.

    To sum up, Custom MMIC is showing that GaN-based mixers can now achieve input IP3 levels ranging from +35 to +40 dBm. While these mixers do have the drawback of requiring a higher LO drive level

    Reply
  6. Tomi Engdahl says:

    Samsung To Buy Harman For $8B
    http://semiengineering.com/samsung-makes-automotive-push-with-8b-harman-buy/

    Tier 1 acquisition boosts automotive portfolio.

    To fulfill a strategic priority in automotive and connected technologies for Samsung Electronics, the Korean chipmaking giant announced today it is acquiring leading Tier 1 automotive supplier Harman International Industries for $112.00 per share in cash, or total equity value of approximately $8.0 billion.

    Samsung estimates the addressable market for automotive is expected to grow to more than $100 billion by 2025.

    A statement from Samsung noted that Harman is the market leader in connected car solutions, with more than 30 million vehicles currently equipped with its connected car and audio systems, including embedded infotainment, telematics, connected safety and security. Approximately 65% of HARMAN’s $7.0 billion of reported sales during the 12 months ended September 30, 2016 are automotive-related, and its order backlog for this market at June 30, 2016 was approximately $24 billion.

    Oh-Hyun Kwon, Vice Chairman and Chief Executive Officer of Samsung Electronics said in the statement, “Harman perfectly complements Samsung in terms of technologies, products and solutions, and joining forces is a natural extension of the automotive strategy we have been pursuing for some time.”

    He said the deal will benefit Samsung in two ways. First, verticalization. Samsung will have more internal demand for its components as well as a large, new in-house customer for its foundry, Samsung LSI. There will also be an opportunity for improved integration between hardware and software which should improve the performance of Harman’s products. Second, customer access. Harman has strong relationships with almost every car maker which will give Samsung an avenue through which to sell its other products such as semiconductors and displays to the automotive industry. “We do not think for one minute that acquisition is about Samsung building its own car or about Samsung extending its own digital ecosystem into the car.”

    Harman’s competitors who may have a tougher time going forward.

    “Apple’s realignment of its automotive experiment is a strong indication of how it is not a good idea for a tech company to start making cars and we do not think that Samsung ever had any intention of following Apple down this road. Most importantly, Samsung does not represent the same threat to automotive brands that Apple and Google do, as it long ago gave up trying to compete in the ecosystem.”

    Reply
  7. Tomi Engdahl says:

    7nm Power Issues And Solutions
    Design success starts with predictable and reliable RTL To GDS methodology.
    http://semiengineering.com/7nm-power-issues-and-solutions/

    Being able to achieve 35% speed improvement, 65% power reduction and 3.3X higher density makes adopting a 7nm process for your next system-on-chip (SoC) design seem like an easy decision. However, with $271 million in estimated total design cost and 500 man-years it would take to bring a mid-range 7nm SoC to production, companies need to carefully weigh the benefits against the cost of designing at this advanced technology node. In order to make a profit, design teams need to manage cost and resources, and to ensure first silicon success. These considerations are mandating a shift in design methodology toward early analysis, which can influence better downstream decisions and catch design issues in a timely manner.

    Managing power consumption and power noise continue to be huge concerns for designs at smaller nodes, and they are key requirements for mitigating design failures. Higher device capacitance, interconnect resistance, and current densities at 7nm finFET nodes underscore the importance of dynamic power and thermal management. By adopting a predictable and reliable RTL methodology, you can identify and fix areas of potential power issues earlier in the process and make better design decisions.

    Make early design decisions
    RTL power analysis enables high-impact power-related decisions early in the design flow by providing a more intuitive environment for identifying, debugging and fixing potential power issues.

    Driven by rigorous tracking of RTL power across different bandwidth scenarios, AMD noted in a recent ANSYS Advantage article how they reduced power by 70% in a high-performance computing design application.

    Accurately predict power
    To enable early design decisions, RTL results should reliably predict the implemented design’s final power consumption.

    Eliminate redundant activity
    Eliminating redundant switching is a key component of managing dynamic power. Clocks are high-switching nets with the largest drive loads and control a significant portion of the overall power. Glitch power can constitute 20% to 30% of the total logic power, especially in compute intensive designs.

    Reply
  8. Tomi Engdahl says:

    Digital control enables high reliability DC-DC power conversion with active snubbing
    http://www.edn.com/design/power-management/4443008/Digital-control-enables-high-reliability-DC-DC-power-conversion-with-active-snubbing?_mc=NL_EDN_EDT_EDN_today_20161117&cid=NL_EDN_EDT_EDN_today_20161117&elqTrackId=3ecd7be3b146477294456079cf85ce61&elq=5ee0d1dbcfe948f393976dddfa4a4820&elqaid=34827&elqat=1&elqCampaignId=30405

    Typically, for isolated DC-DC power supply applications with high-output current, the use of synchronous rectifiers (particularly MOSFETs) is dominant. A high-output current also introduces a high di/dt on the rectifiers. For high efficiency the choice of MOSFET is mainly determined by the on-resistance and the gate charge. However, little attention is given to the parasitic body diode reverse recovery charge (Qrr) and output capacitance (Coss). These are critical parameters that contribute to the voltage spike and the ringing seen on the drain of the MOSFET.

    Typically, as the breakdown voltage rating of the MOSFET increases, the on-resistance also increases. This article proposes a digitally controlled active clamp snubber that can eliminate the voltage spike and ringing seen on the synchronous rectifiers. It also offers a design guide coupled with several other benefits in isolated DC-DC converters (such as half- and full-bridge topologies), while improving reliability and reducing failure rate.

    High-reliability power supplies with high meantime between failures (MTBF) are always desired. For a robust design, a higher breakdown voltage rating of the switches can be used.

    The voltage spike is caused by the resonance from the leakage inductance, parasitic trace inductance, and rectifier output capacitance (Coss), which can resonant up to a peak value of twice the steady state reverse voltage of the rectifier. Passive snubbers can be used in the form of RC [1] or RCD [5] as a solution. While these are popular, they are also lossy and contribute to a small drop in efficiency. Some techniques to generate a lossless snubber use regenerative snubbers like LCD [3]; focus on snubbers for the primary side switch only; or use the RC snubber during power switch turn-off only and not during turn-on.

    Other techniques [2, 6] use the leakage energy to drive a small high-efficiency converter to feed into the output voltage terminal. However, this requires a higher component count. Still other techniques [4] have applied the active clamp snubber to the full-bridge phase-shifted topology to eliminate resonance caused by the resonant inductor on the primary side in a ZVS soft-switching application, which has a limitation at low duty cycles.

    Reply
  9. Tomi Engdahl says:

    RS-485 transceivers meet IEC surge standards
    http://www.edn.com/electronics-products/other/4443013/RS-485-transceivers-meet-IEC-surge-standards?_mc=NL_EDN_EDT_EDN_today_20161117&cid=NL_EDN_EDT_EDN_today_20161117&elqTrackId=fb9ca524ea69440dbd067f9f2cce2637&elq=5ee0d1dbcfe948f393976dddfa4a4820&elqaid=34827&elqat=1&elqCampaignId=30405

    Analog Devices’ isolated ADM2795E and non-isolated ADM3095E RS-485 transceivers are among the first to be fully certified for IEC61000-4-5 Level 4 EMC surge protection, eliminating the need for external transient voltage surge suppressors. The integrated fault protection offered by these devices prevents potentially destructive voltages from damaging the communication interface, which can result from failures, surges, electrostatic discharge, and wiring errors.

    The ADM2795E 5-kV RMS isolated transceiver provides up to ±42 V of AC/DC peak bus overvoltage fault protection on the RS-485 bus pins. Both the ADM2795E and non-isolated ADM3095E furnish IEC 61000-4-5 surge protection of ±4 kV; IEC 61000-4-4 EFT protection of ±2 kV; and IEC61000-4-2 ESD protection of ±8 kV contact discharge and ±15 kV air-gap discharge. Both devices are TIA/EIA RS-485/RS-422 compliant over their full supply range of 3 V to 5.5 V and up to 125°C.

    Reply
  10. Tomi Engdahl says:

    Integrated Resistors for an Advanced Node CMOS
    http://www.eetimes.com/author.asp?section_id=36&doc_id=1330751&

    New process flows adapt to HKMG and FinFET technologies while maintaining resistor performance.

    Recent reductions in semiconductor device feature sizes have introduced some interesting changes to the semiconductor integrated circuit (IC) process flow. For instance, advanced node IC devices are most likely fabricated using a channel stress technique, High-K Metal Gate (HKMG) process or FinFET structures. All those changes will improve transistor performance; reduce die size and off-state current; and increase IC speed. In the past, we have published articles related to those changes in the technology and IC device, but we mostly focused on the transistor structure and how it was fabricated [ , ]. However, I believe that the passive components, like resistors, inductors or capacitors deserve attention as well. These passive components are the unsung heroes of IC design and often overshadowed by their transistor brethren. This article will study the implications of the new technology on resistor evolution for advanced node CMOS.

    Reply
  11. Tomi Engdahl says:

    Electronica Settles into Automotive, Industrial, IoT Roles
    http://www.eetimes.com/document.asp?doc_id=1330831&

    In a week when the biennial Electronica trade show in Munich, Germany, was overshadowed by a presidential election on the other side of the Atlantic Ocean and amid supposed long-term decline of Europe as a power in semiconductors and electronics, it seems reasonable to ask if Electronica is still busy — and still relevant.

    Reply
  12. Tomi Engdahl says:

    Top 20 IC Ranking: Apple Rises Most
    http://www.eetimes.com/document.asp?doc_id=1330850&

    IC Insights unveiled this week its forecast for 2016 top 20 global semiconductor sales ranking.

    Unsurprisingly, Intel is forecast to maintain the number one position in the top-20 ranking. The CPU giant is expected to “increase its lead over Samsung’s semiconductor sales from only 24% in 2015 to 29% in 2016,” according to the market research firm.

    Surprisingly, a company expected to show the biggest upward mobility in the global semiconductor sales ranking is no traditional chip vendor — it’s Apple. Apple is forecast to jump up three positions in the 2016 ranking compared with 2015.

    IC Insights called Apple “an anomaly,” since the company designs and uses its processors for internal use only.

    Reply
  13. Tomi Engdahl says:

    Samsung Makes 10nm Q’comm SoC
    Snapdragon 835 beats Apple, Mediatek
    http://www.eetimes.com/document.asp?doc_id=1330849&

    Qualcomm announced it is in production with its next generation mobile SoC, the Snapdragon 835, in Samsung’s 10nm process. The news came at a briefing here where Qualcomm withheld release of other details of the new chip.

    The chip becomes the first mobile SoC at 10nm beating rivals such as Apple which is reportedly using TSMC’s 10nm process for a planned iPhone 8 chip and Mediatek which announced it could deliver the first 10nm SoC. The news highlights the intense race for profits at the high-end of the smartphone market and how that race is driving semiconductor process technology

    Separately, Qualcomm said it will pay up to $15,000 for anyone who can find security flaws in its Snapdragon, LTE or related mobile chips. It also released an upgrade of its approach to wireless charging.

    Reply
  14. Tomi Engdahl says:

    The world’s first fully digital radio

    Fully software-based radio in the so-called. software radio communications are considered as some sort of Holy Grail. English design house Cambridge Consultants is now saying that it had completed the first fully digital radio as an affiliate launched last year, Pizzicato transmitter has been developed to fully digital receiver.

    Consultant Company fully digital radios big challenge so far has been the fact that the conversion between analog and digital radio frequencies requires a billion calculations per second that achieves the calculation. Until now, silicon-based chips have been impossible.

    CC now says it has developed technology that will reach 78 billion decrease in delivery of less than one square millimeter-sized silicon chip. When the circuit in addition to take advantage of parallelism, the conversion can be done in time, in which light travels only a few centimeters distance.

    When the radio is completely digital, it will revolutionize communication in many ways. Radio performance increase in practice in accordance with Moore’s Law.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=5428:maailman-ensimmainen-taysin-digitaalinen-radio&catid=13&Itemid=101

    Reply
  15. Tomi Engdahl says:

    Why EUV Is So Difficult
    http://semiengineering.com/why-euv-is-so-difficult/

    One of the most complex technologies ever developed is getting closer to rollout. Here’s why it took so long, and why it still isn’t a sure thing.

    For years, extreme ultraviolet (EUV) lithography has been a promising technology that was supposed to help enable advanced chip scaling. But after years of R&D, EUV is still not in production despite major backing from the industry, vast resources and billions of dollars in funding.

    More recently, though, EUV lithography appears to be inching closer to possible insertion for high-volume manufacturing, at least for one or a few critical layers. Two chipmakers, Intel and Samsung, have put EUV on their roadmaps at 7nm in the 2018 or 2019 timeframe. In addition, Samsung hopes to use EUV for 1xnm DRAMs.

    Not everyone is banking on EUV for 7nm, though. TSMC will extend today’s 193nm immersion and multiple patterning to 7nm, with plans to insert EUV at 5nm. GlobalFoundries has a similar strategy. EUV, 193nm immersion and multi-patterning fall under the heading of lithography, which is a key chip-scaling technology that patterns the tiny features on a wafer.

    Reply
  16. Tomi Engdahl says:

    More EUV Mask Gaps
    http://semiengineering.com/more-euv-mask-gaps/

    Pellicles and inspection remain problematic.

    Extreme ultraviolet (EUV) lithography is at a critical juncture.

    After several delays and glitches, EUV is now targeted for 7nm and/or 5nm. But there are still a number of technologies that must come together before EUV is inserted into mass production. And if the pieces don’t fall into place, EUV could slip again.

    First, the EUV source must generate more power. Second, the industry needs better EUV resists. And finally, the industry needs to solve some large and critical issues in the EUV mask infrastructure.

    Reply
  17. Tomi Engdahl says:

    Magnetic Ink Can Be Used to Develop Self-Healing Electronics
    http://www.designnews.com/materials-assembly/magnetic-ink-can-be-used-develop-self-healing-electronics/104033607946097?cid=nl.x.dn14.edt.aud.dn.20161118.tst004c

    Researchers have used the ink to develop a self-healing battery, a chemical sensor, and a simple electrical circuit embedded in a T-shirt.

    Reply
  18. Tomi Engdahl says:

    The Week In Review: Manufacturing
    http://semiengineering.com/the-week-in-review-manufacturing-138/

    Next month, GlobalFoundries will host a job fair in Portland, Ore., according to reports. The company hopes to hire former Intel workers. These are workers who lost their jobs as part of Intel’s recent layoff.

    Anokiwave, a developer of chips for the mmWave market, has announced a foundry alliance with GlobalFoundries. GlobalFoundries will make so-called Silicon Core chips on a foundry basis for the company. The chips are based on GlobalFoundries’ 130nm silicon-germanium (SiGe) technology platform. Chips for mmWave and active antennas have been used in military phase-array radar systems for many years

    United Microelectronics Corp. (UMC) has opened United Semi, UMC’s 300mm joint venture wafer fab in Xiamen, China.

    Samsung and Qualcomm have extended their ongoing foundry alliance. Under the plan, Samsung will make Qualcomm’s Snapdragon 835 line of application processors based on its 10nm finFET process technology.

    Samsung has announced plans to acquire Harman for approximately $8.0 billion.

    Analog Devices (ADI) has announced the acquisition of the solid-state laser beam steering technology from Vescent Photonics.

    Reply
  19. Tomi Engdahl says:

    TVS device stops ESD in its tracks
    http://www.edn.com/electronics-products/other/4443040/TVS-device-stops-ESD-in-its-tracks

    Semtech’s RClamp0561Z is a sub-200 femtofarad protection device that safeguards high-speed data interfaces, including USB 3.0/3.1 and 10-Gigabit Ethernet, from ESD, EFT, and cable discharge. This single-line device provides transient protection exceeding IEC 61000-4-2 at ±15 kV air and ±12 kV contact.

    The first entry in Semtech’s FemtoClamp platform, the RClamp0561Z is manufactured using an ultralow-capacitance TVS process that enables it to deliver strong ESD suppression on differential data lines operating at up to 5.5 V. Typical capacitance of 0.12 pF allows the RClamp0561Z to be used in high-bandwidth applications, such as HDMI 2.0 4K/2K, Thunderbolt, and USB 3.1.

    Semtech RClamp0561Z
    RailClamp® 1-Line, 120fF ESD Protection for High-Speed Lines
    http://www.semtech.com/apps/product.php?pn=RClamp0561Z

    Reply
  20. Tomi Engdahl says:

    Can We Measure Next-Gen FinFETs?
    Why the semiconductor industry needs breakthroughs, and why it’s getting tougher to provide them.
    http://semiengineering.com/can-we-measure-next-gen-finfets/

    After ramping up their respective 16nm/14nm finFET processes, chipmakers are moving towards 10nm and/or 7nm, with 5nm in R&D. But as they move down the process roadmap, they will face a new set of fab challenges. In addition to lithography and interconnects, there is metrology.

    Metrology, the science of measurements, is used to characterize tiny films and structures. It helps to boost yields and prevent defects in the fab, which in turn impacts the overall cost for chipmakers. At advanced nodes, though, metrology is becoming more complex, challenging and expensive. And there are a growing number of gaps in metrology, especially for finFETs at 10nm and beyond.

    Existing metrology tools are more than capable of measuring structures in two dimensions. With 3D finFETs, though, the structures are sometimes at the atomic level, where the measurements are well below an angstrom. (One angstrom equals 0.1nm.)

    “As devices went from planar to 3D, the metrology requirements became like alligators in the water,”
    Existing metrology tools are more than capable of measuring structures in two dimensions. With 3D finFETs, though, the structures are sometimes at the atomic level, where the measurements are well below an angstrom. (One angstrom equals 0.1nm.)

    “As devices went from planar to 3D, the metrology requirements became like alligators in the water,”

    For finFETs, there is no single tool type that can handle all metrology requirements. The complexity of the structures requires a growing assortment of tool types, based on electron beam, optical, X-ray and others.

    The challenges
    For decades, the industry has made and sold chips based on traditional planar processes. Although planar devices use trailing-edge processes, there is a revival for these chips in several segments, such as automotive, IoT and wireless.

    Meanwhile, there is also plenty of action at the leading-edge nodes. At 20nm, though, planar devices hit the wall due to short-channel effects. To solve the problem, chipmakers introduced finFETs at 22nm and 16nm/14nm. In finFETs, the control of the current is accomplished by wrapping a gate around on each of the three sides of a fin.

    FinFETs bring new challenges to the party, however. For example, 16nm/14nm finFETs require double patterning. “Process control, and overlay alignment accuracy, of lithography is extremely stringent,” said Kurt Huang, senior director of corporate marketing at UMC. “Inline inspection and metrology are also extremely important, as the critical dimensions are near the range of a few nanometers. Contaminant control and defect inspection are also crucial for successful mass production.”

    Huang noted that 14nm/16nm finFETs need extra strain materials to improve device performance, such as SiGe, which requires additional mask layers.

    The solutions—CD metrology
    How will the industry measure finFETs at 10nm and beyond? And what about next-generation transistors?

    According to a recent presentation from GlobalFoundries, a multitude of metrology tools will be needed in five basic areas—dimensional, compositional, dopant, strain, and electrical.

    For decades, metrologists have used various equipment to take dimensional measurements. Basically, dimensional measurements involve the critical dimensions (CDs) of a structure, such as height, width and spacing.

    Planar devices require six different CD measurements. In comparison, finFETs require 12 or more different CD measurements, such as the gate height, fin height and sidewall angle. Each of those also requires different measurements.

    Reply
  21. Tomi Engdahl says:

    Measuring Atoms And Beyond
    NIST’s Engineering Physics Division chief opens up on future directions for metrology.
    http://semiengineering.com/measuring-atoms-and-beyond/

    Reply
  22. Tomi Engdahl says:

    Printed Cars, Smart Stints, Personal Breathalyzers
    Innovation heats up, but so does debate over MEMS capacity.
    http://semiengineering.com/printed-cars-smart-stints-personal-breathalyzers/

    The MEMS and sensor market continues to be a hotbed for innovation, new opportunities and, as with most new frontiers, there are also some disparate views on market dynamics and strategies.

    Reply
  23. Tomi Engdahl says:

    Changes In China
    http://semiengineering.com/changes-in-china/

    What was discussed at the Beijing Microelectronics Forum.

    SEMI’s Lung Chu presented six trends:

    The semiconductor industry matured, growth is slowing, with increasing mergers and intensifying competition.
    Global systems manufacturers (such as Apple, Google, Huawei, Samsung, WD) are increasingly driving IC design and development.
    The new applications environment and innovation is increasing competition.
    Moore’s Law is slowing for some devices, but investment and manufacturing technology continue to grow. Also, Internet of Things (IoT) and new energy sources are driving More than Moore” (MTM) IC’s, sensors, and power devices.
    China’s entry into the storage device industry is inevitable.
    Chinese semiconductor equipment and materials currently account for less than 1 percent of total global market share, and the opportunities for development are enormous.

    Lung Chu said the Chinese semiconductor industry has made surprising progress over the past decade; an outline of integrated circuit promotion and major funding are both necessary and timely; and that the Chinese industry currently faces an unprecedented opportunity. However, global semiconductor technology applications and technical progress are also progressing rapidly, and the competition is getting more intense.

    Reply
  24. Tomi Engdahl says:

    Scientists Develop the First Self-Destructing Battery
    https://www.eeweb.com/blog/eeweb/scientists-develop-the-first-self-destructing-battery

    Of the 21 batteries used per year by the average household, only 5% of rechargeable batteries and 2% of disposable batteries are recycled, resulting in an increasing amount of toxic waste without a sustainable mode of elimination. This issue will only be compounded by the global battery demand forecasted to rise 7.7% per year until 2019. Now, researchers from Iowa State University have built the world’s first stable transient battery that dissolves in water.

    Led by Reza Montazami, an assistant professor of mechanical engineering, the achievement represents a culmination of years of hard work, resulting in a self-destructing, lithium-ion battery capable of delivering 2.5 volts—more than the voltage of an AA or AAA battery—and disintegrating in 30 minutes when dropped in the water. The solution is a dramatic step forward in practicality, doubling the voltage of previous self-destructing prototypes, while systematically reducing the time it takes to dissolve—two steps closer toward a practical solution.

    Reply
  25. Tomi Engdahl says:

    IO-Link® Servo Driver
    https://www.eeweb.com/project/design_library/io-link-servo-driver

    The MAXREFDES37# IO-Link® servo driver provides 5V power, four PWM outputs and four digital inputs for control of up to four 5V servo motors. IO-Link offers the ability to replace pneumatic actuators, and their compressed air lines, in industrial applications. With added level translation, the digital inputs allow for interfacing to binary position or status sensors. This design unveils a completely new application to the industrial segment with potential to disrupt the traditional pneumatic methods used today.

    Reply
  26. Tomi Engdahl says:

    Macom Buys Applied for Comms
    X-Gene SoC for sale in $770M deal
    http://www.eetimes.com/document.asp?doc_id=1330870

    Macom bid $770 million for Applied Micro in a deal that aims to sell off quickly Applied’s X-Gene ARM server SoC unit. The deal is a sign the big data centers continue to drive lucrative communications markets aggressively and are not poised to embrace ARM servers in the near future.

    Macom believes it got Applied’s “gold nugget” of CMOS comms chips for a bargain at a 15.4% premium in a semiconductor merger frenzy that has seen premiums above 30%. In an indication of the pressure for Applied to cut its losses on its X-Gene ARM server SoC, the mixed cash and stock deal started at a 10% premium until Macom’s stock price rose.

    Macom saw Applied’s leadership in the PAM-4 technology needed for 100 and 400 Gbit/second Ethernet as a key prize. The deal also adds to Macom’s telco customer list access to Applied’s network OEM and data center customers including Arista, Cisco, Juniper, Amazon, Facebook and Google.

    Reply
  27. Tomi Engdahl says:

    Top 20 IC Ranking: Apple Rises Most
    http://www.eetimes.com/document.asp?doc_id=1330850&

    IC Insights unveiled this week its forecast for 2016 top 20 global semiconductor sales ranking.

    Unsurprisingly, Intel is forecast to maintain the number one position in the top-20 ranking. The CPU giant is expected to “increase its lead over Samsung’s semiconductor sales from only 24% in 2015 to 29% in 2016,” according to the market research firm.

    Surprisingly, a company expected to show the biggest upward mobility in the global semiconductor sales ranking is no traditional chip vendor — it’s Apple.

    Reply
  28. Tomi Engdahl says:

    ST’s Bozotti on ‘Back-to-Silicon’ Differentiation
    http://www.eetimes.com/document.asp?doc_id=1330846&

    Carlo Bozotti, CEO of STMicroelectronics NV, has presided over a difficult period in the chip company’s history as he first put together the ST-Ericsson mobile processors joint venture and then had to engineer ST’s exit from what had became an ill-fated project. But now the write-offs, reorganizations and product phase outs are just about behind the company and it is starting to resume growth.

    “The market is on an upturn, despite an IMF revision taking down global GDP forecast,” said Bozotti. “We saw a good trend in bookings in Q3 and this continued in October and the upturn is broad.”

    “In Q3 distribution sales were up 12.2 percent and the book-to-bill ratio was well above one. In the second half all the product groups will contribute to year-on-year sales growth. The year-on-year is expected to be up 11.2 percent fourth quarter over fourth quarter,” said Bozotti attributing this to strong smartphone, automotive and industrial demand.

    “MCUs, automotive, speciality image sensors are all contributing to growth,”

    “We are certainly growing in MEMS. In automotive we need to do more; both in sales and design wins. So yes consumer is the biggest part [of MEMS sales] but it is much more variegated than before. Before it was one customer one product. Now it is multiple sensor types spread over many products.”

    Reply
  29. Tomi Engdahl says:

    Microsemi Offers First FPGA-Based RISC-V IP Core
    http://www.eetimes.com/document.asp?doc_id=1330851&

    Microsemi (Aliso Viejo, Calif.) has just become the first FPGA provider to offer the open architecture RISC-V as a soft IP processor core, along with a comprehensive software tool chain for embedded designs.

    Pronounced “Risk Five,” the RISC-V is not a processor core per se; instead, it is an open-source instruction set architecture (ISA) that is based on established reduced instruction set computing principles.

    The RISC-V ISA was designed from the ground-up to be useful in modern computerized devices, ranging from warehouse-scale cloud computers to high-end smartphones to the smallest embedded systems.

    In contrast to most ISAs, RISC-V is freely available for all types of use, permitting anyone to design, manufacture, and sell RISC-V chips and software. The fact that the open source RTL for Microsemi’s RISC-V IP core is available for inspection addresses reliability and security concerns; this is a significant differentiator compared to proprietary cores, which are typically provided in an obfuscated (unreadable) form.

    Reply
  30. Tomi Engdahl says:

    Clean water courtesy of LEDs
    http://www.edn.com/electronics-blogs/led-zone/4443030/Clean-water-courtesy-of-LEDs?_mc=NL_EDN_EDT_EDN_today_20161121&cid=NL_EDN_EDT_EDN_today_20161121&elqTrackId=de84b455a6d1465fbc40e5e224e901f6&elq=ac57d78506c1413f85a1aaf3c4b8baf3&elqaid=34869&elqat=1&elqCampaignId=30442

    inShare1
    Save Follow
    PRINT
    PDF
    EMAIL
    Metal foil-based LEDs developed by researchers at Ohio State University, could enable soldiers and others to use them to purify drinking water as well as sterilize medical equipment in the field.

    For the first time, LEDs on lightweight flexible metal foil are using the high-energy deep end of the UV spectrum that is already the basis for detection of biological agents as well as curing plastics. The lightweight foil enables easy transport compared with any other deep ultraviolet light today, such as mercury lamps. The research indicates that by making UV LEDs safe, portable, and inexpensive, safe drinking water could be made wherever needed.

    Reply
  31. Tomi Engdahl says:

    New LEDs may offer better way to clean water in remote areas
    Nanotech enables powerful and portable sterilization equipment
    https://news.osu.edu/news/2016/11/15/uvled/

    For the first time, researchers have created light-emitting diodes (LEDs) on lightweight flexible metal foil.

    Engineers at The Ohio State University are developing the foil based LEDs for portable ultraviolet (UV) lights that soldiers and others can use to purify drinking water and sterilize medical equipment.

    In the journal Applied Physics Letters, the researchers describe how they designed the LEDs to shine in the high-energy “deep” end of the UV spectrum. The university will license the technology to industry for further development.

    Reply
  32. Tomi Engdahl says:

    Avoiding The Barriers For Multi-Board Systems Design Development
    http://semiengineering.com/avoiding-the-barriers-for-multi-board-systems-design-development/

    As designs get more complex, design teams need an environment that supports collaboration.

    Designing electronic systems that comprise multiple interacting boards, connectors and cables requires a multi-discipline team collaboration to effectively manage design complexity for optimum product performance and reliability. Multi-board systems may comprise two boards or up to hundreds of boards, packing a cabinet or rack, with interconnected connectors and/or cables. Since the hardware functionality is now distributed across multiple boards, the system integrator must determine the connections that need to be made between each board, and to external interfaces. As design complexity rises, there could be tens of thousands of connections.

    Today, systems designers still rely on desktop drawing programs, spreadsheet editors, and document editors.

    Re-defining the connectors among the affected boards is an enormous task and ripe for errors since it is a substantial manual operation with a spreadsheet.

    Critical systems design flow from concept to manufacture.

    The lack of an integrated solution is the major problem. When inevitable changes occur, each of the affected connectors must be thoroughly checked and rechecked. This is not just at one level, but at each level where data is manually managed. This means checking two or more places each time a change is made. Without an integrated connection between the system design and the PCB design, hours are lost, errors are common, and projects get delayed.

    Multi-board systems design requirements
    Many multi-board systems have external connectivity, input/output signals, data, power and ground, combinations of both, or even more complex combinations if the multi-board system is part of a much larger system, or system-of-systems. What’s needed is an efficient, documented, intelligent and manageable process for communicating such logical connectivity to other design teams and/or systems. Another requirement: a solution that can receive logical connectivity and signal information to ensure external connector selection and signal/pin assignment is made correctly and modified as necessary.

    Reply
  33. Tomi Engdahl says:

    New Wave Of Consolidation
    http://semiengineering.com/new-wave-of-consolidation/

    What’s driving it, what’s next, and who should be concerned?

    Consolidation is picking up again across the semiconductor industry, against a backdrop of looming interest rate hikes, geopolitical uncertainty, and the erosion of longstanding demarcations between markets.

    In the past couple of weeks, Siemens signed a deal to buy Mentor Graphics for $4 billion, and Samsung purchased Harman, a Tier 1 automotive supplier for $8 billion. What’s different is that deals worth multiple billions of dollars—sometimes tens of billions—are no longer extraordinary. When Texas Instruments paid $7.6 billion for Burr-Brown in 2000, it was considered a jaw-dropping amount of money.

    Reply
  34. Tomi Engdahl says:

    Obsolescence Manager
    https://www.rs-online.com/designspark/obsolescence-manager

    Research parts and components with access to lifecycle status and millions of technical resources across over 400K RS products and build into your parts list.

    Manage your lifecycle risk

    Review lifecycle updates regularly for changes and use prediction technology to anticipate end-of-life issues enabling proactive monitoring of lifecycle risk within your parts list.

    Select your alternatives

    With over 70 million alternative component solutions suitably categorised, review and select the right alternatives to your problem components.

    Reply
  35. Tomi Engdahl says:

    The revolutionary technology for recharging your smartphone in seconds

    University of Florida nanoscience research center has developed technology that can be used to manufacture flexible supercapacitors. They can store a lot of energy quickly and can also be downloaded over 30 000 times without performance deterioration.

    - If your smartphone replace the battery by a supercapacitor, the phone could be charged in a few seconds and no new chargings ​​in over a week, says the ACS Nano study told the magazine Nitin Choudhary.

    In addition to quick charging technology solves the current batteries that plagues capacity decrease. Modern battery lasts about one and a half year or so

    Supercapacitor using traditional methods should be much larger existing mobile phone batteries, so it should be charged the same amount of energy. Because of this, researchers have been looking for a suitable nano-materials for their implementation

    Source: http://etn.fi/index.php?option=com_content&view=article&id=5450:mullistava-tekniikka-lataa-alypuhelimen-parissa-sekunnissa&catid=13&Itemid=101

    Reply
  36. Tomi Engdahl says:

    Photonics 3-D Modeler Born
    Coventor Aims at Future Optics
    http://www.eetimes.com/document.asp?doc_id=1330863&

    Coventor’s SEMulator3D began as a tool for designing microelectromechanical systems (MEMS), then evolved to semiconductor equipment companies, chip makers and foundries for the 3-D structures in FinFETS, 3-D NAND and HD disk-heads. Now every major company in the MEMS and semiconductor supply chain uses Coventor’s tools (with over half of their customers making semiconductors instead of MEMS). Next Coventor is anticipating the mixed analog/digital/photonic chips of the future by adding modeling of the optical channels, mixers, and other specialized functions for the coming photonic era.

    “Today MEMS and semiconductor makers are grabbing bigger and bigger market shares by using the fabless model, which reduces risk and lowers costs,”

    Virtual fabrication likewise allows a MEMS, semiconductor, photonic and mixed-signal chip-makers to find and correct flaws before the first tape-out, saving time and wafer costs.

    Reply
  37. Tomi Engdahl says:

    Major heat dissipation breakthrough. But will electronics designers take any notice?
    http://www.electropages.com/2016/11/major-heat-dissipation-breakthrough-will-electronics-designers-take-notice/?utm_campaign=&utm_source=newsletter&utm_medium=email&utm_term=article&utm_content=Major+heat+dissipation+breakthrough.+But+will+electronics+designers+take+any+

    News this week that scientists have developed thermal management technology that could help with the design of smaller chips has coincided with a new market study suggesting 40% of electronics engineers do not consider heat dissipation a design priority.

    The study comes from the 6SigmaET team at Future Facilities, a thermal simulation tool provider, and it found that 20% of engineers say thermal issues are a common cause of expensive and time-consuming late stage design complications. Despite these thermal related issues the survey of over 350 professional electronics engineers revealed that 40% of engineers still consider thermal management to be a low priority in their current design processes.

    Needless to say this is a surprising figure because it is a well established fact that controlling the amount and flow of heat through semiconductor materials is a critical design element in developing smaller, faster and more powerful computer chips. Without adequate heat dissipation strategies components become unreliable and prone to failure. Interestingly, nearly two thirds of engineers studies said it is easier to over engineer the thermal aspects of designs rather than use tools to optimise thermal performance.

    Important Thermal Breakthrough

    By changing the size and shape of the GaAs nanostructures the researchers were able to alter the energy spectrum, or dispersion, of acoustic phonons. Controlling phonon dispersion is crucial for improving heat removal from nanoscale electronic devices.

    So will electronics engineers take notice of this breakthrough? Possibly. The study performed by 6SigmaET revealed that 37% of engineers think they should be spending more time on thermal management and 75% of designers admitted they don’t generally test a device’s thermal operation early enough in the design process.

    Reply
  38. Tomi Engdahl says:

    Replacing Tantalum Bypass Capacitors with Multi-Layer Ceramic Devices
    http://www.eetimes.com/author.asp?section_id=30&doc_id=1330877&

    In addition to exploding, Tantalum capacitors almost always fail to a short if you reverse their polarity or exceed their working voltage.

    my friend Rick Curl emailed me to say that he’s largely stopped using Tantalum capacitors in his designs.

    “For a long time, I’ve been in the habit of generously sprinkling Tantalum bypass capacitors between the supply and ground buses of my analog and digital PCB designs. It’s good engineering practice to prevent noise and spikes on these buses.”

    “However, back in 2011, a couple of things happened that caused me to rethink my choice of Tantalum capacitors.”

    “There were little charred holes in the PCB where some of the bypass capacitors had been. Looking at the remaining capacitors that had not yet failed, I realized that they’d all been installed backwards. It turned out we had somehow acquired a different brand of capacitor than the ones with which the assembler was accustomed, and she had misinterpreted the polarity markings.”

    “I soon discovered that the price of Tantalum had more than tripled almost overnight”

    “Combined with the fact that Tantalum capacitors almost always fail to a short if you reverse their polarity or exceed their working voltage, I decided that it was time to find some other alternative.”

    “Aluminum electrolytics were not an option. Their ESR (equivalent series resistance) is too high for them to be effective as bypass capacitors, they’re too big, and — like Tantalum’s — they’re also polarity sensitive.”

    “Film capacitors might work, but it’s hard to find physically small ones with values above a microfarad or so. Eventually I started looking at Multi-Layer Ceramic Capacitors (MLCCs).”

    “These are not polarized, so installing them backwards is not a problem.”

    “What I discovered is that ceramic capacitors are generally split into two types, or classes. Class 1 is the stable, low-capacitance type that is often used in tuned circuits. Type 2 is available in much higher capacitance values, but with a couple of major shortcomings that we must keep in mind. The first of these shortcomings is the temperature coefficient.”

    “usually use X7R, which is specified from -55 to +125° C, where the capacitance is allowed to vary 15% over this range.”

    “we need to look at voltage coefficients. This is actually the bigger, but more obscure, issue.”

    “the capacitance has dropped from 1.0µF to 0.3µF. That’s a HUGE loss!”

    “It is hard to measure the actual capacitance value while voltage is applied to a capacitor.”

    “While the capacitor’s performance over temperature is not that difficult to predict, information about capacitance change as voltage is applied can be a bit more elusive”

    “I called the Murata FAE in Huntsville and asked him if I was reading the graph correctly where it indicated that I would lose 70% of the capacitance value at 50% of the rated voltage.”

    As you may recall, all I wanted was a single 22µF Tantalum capacitor for use with a 3.3V voltage regulator.

    whenever a circuit calls for a Tantalum capacitor in the future, I shall certainly consider using a Multi-Layer Ceramic equivalent.

    ©2004 KEMET Electronics Corp.
    Technical Update – Comparison of Ceramic and Tantalum Capacitors
    http://www.kemet.com/Lists/TechnicalArticles/Attachments/93/2008-11%20Update%20-%20Ceramic%20versus%20Tantalum.pdf

    Reply
  39. Tomi Engdahl says:

    Renesas Bounces Back, But How High?
    Renesas gets ready with Mobileye killer
    http://www.eetimes.com/document.asp?doc_id=1330871

    Japan’s once ailing Renesas Electronics has been blessed — unintentionally — by NXP’s recent acquisition of Freescale.

    While NXP and Freescale were preoccupied with their merger and integration efforts, Renesas claims that it has quietly grown its market share in automotive microcontrollers and SoCs.

    The Freescale takeover unquestionably made NXP the world’s largest automotive chip vendor in 2015. With the two companies’ combined auto chip sales at $3.9 billion, NXP held last year 14.2 percent of a $27.4 billion automotive semiconductor market, according to market research firm Strategy Analytics.

    Reply
  40. Tomi Engdahl says:

    Bosch CEO: Hanging on to Lead
    Higher integration, lower price/performance
    http://www.eetimes.com/document.asp?doc_id=1330868&

    Bosch Sensortec’s chief executive officer (CEO) and general manager, Stefan Finkbeiner, let the cat out of the bag on a few upcoming trends in the number one supplier of micro-electro-mechanical systems at the recent MEMS Executive Congress (Scotsdale, Arizona).

    “We already have smart sensor hubs, but now plan to integrated different sensors into them plus put more intelligence in the package,” said Finkbeiner.

    Reply
  41. Tomi Engdahl says:

    Photonics 3-D Modeler Born
    Coventor Aims at Future Optics
    http://www.eetimes.com/document.asp?doc_id=1330863&

    Coventor’s SEMulator3D began as a tool for designing microelectromechanical systems (MEMS), then evolved to semiconductor equipment companies, chip makers and foundries for the 3-D structures in FinFETS, 3-D NAND and HD disk-heads. Now every major company in the MEMS and semiconductor supply chain uses Coventor’s tools (with over half of their customers making semiconductors instead of MEMS). Next Coventor is anticipating the mixed analog/digital/photonic chips of the future by adding modeling of the optical channels, mixers, and other specialized functions for the coming photonic era.

    Reply
  42. Tomi Engdahl says:

    Slideshow
    15 Views of Printed Electronics
    Smart bottles want penny NFC tags
    http://www.eetimes.com/document.asp?doc_id=1330867

    Printed, flexible electronics are slowly moving forward on a number of fronts, according to speakers and exhibitors at the annual IDTechEx event.

    U.S. and China governments are funding small, separate research efforts that will have prototype production lines running next year. E-textile companies are making in-roads in specialty garments. Printed NFC tags are already being added to a handful of high-end consumer products.

    Reply
  43. Tomi Engdahl says:

    Solving signal integrity problems at very high data rates
    http://www.edn.com/design/pc-board/4442805/Solving-signal-integrity-problems-at-very-high-data-rates

    The good news about the Internet of Things (IoT) is that it demonstrates just how pervasive high-speed communication technology has become. Addressing software issues within the IoT is pretty straight forward—create some code that people can readily download to their hardware devices to maintain the operating integrity of their various communication devices.

    Addressing hardware issues is not so simple. Even experienced hardware developers are challenged in addressing these issues. Part of the problem is attributable to the nature of hardware technology itself. Printed circuit boards (PCBs) and the various other pieces of hardware associated with them have essentially “run out of gas”. Moreover, wringing the last ounce of performance capability out of these devices often requires unprecedented and very creative engineering efforts.

    The state of technology

    At the start of 21st century, providers of equipment for the Internet struggled to design large routers and switches containing backplanes and plug-in line cards that had long internal connections running at 3.125 Gb/s. The primary concern was how to manage loss in those long paths.

    Fast forward to 2016 and the picture has changed radically. Manufacturers of the semiconductors used in route processors and switch ICs have managed to engineer them so they operate at speeds as high as 32 Gb/s with a very high tolerance for loss along the signal paths. The ICs of 2001 could tolerate as little as 10 dB of loss in the signal path at 3.125 Gb/s. The ICs of 2016 can tolerate as much as 38 dB of loss at 32 Gb/s.

    These changes have exposed a number of microdefects in the signal path that were of little consequence in previous products running at lower data rates. These microdefects include:

    1. The parasitic capacitance of the plated through holes required to mount the connectors can introduce substantial bandwidth degradation.
    2. Crosstalk between transmit and receive signals can be severe because those signals that tolerate 38 dB of loss at the receivers are far more susceptible to interference from a signal leaving a transmitter at full amplitude.
    3. The difference in travel time of the two sides of a differential pair (skew) induced by the irregularities in the weave of the glass cloth required to provide mechanical strength in the PCB can cause a signal path to fail.
    4. Signal loss along the data paths is still an issue but, in most cases, can be handled with the materials currently available used to fabricate PCBs and backplanes. However, as the shift is made to 56 Gb/s and higher, loss in the data path comes back into the equation as a major issue.

    Solving the first three problems has met with varied success.

    The first problem (excess capacitance in the plated through holes) has been dealt with by using a technique called back-drilling to remove the excess capacitance of the connector plated through holes that extend below the layer in which the signal traces are routed.

    The second problem (excess crosstalk) has been dealt with by routing the signals farther and farther apart from each other so this problem is minimized. . However, when receive signals can be only 2 or 3% the amplitude of transmit signals this becomes mechanically very difficult to accomplish

    The third of these (skew or difference in travel time in the two sides of a differential pair), is a result of the uneven distribution of the glass in the woven cloth and the resin used to bind the composite together. This unevenness is due to the fact that the glass bundles used to weave the cloth are much larger than the width of the traces.

    Dealing with signal-path loss

    As mentioned at the start of this article, advances in semiconductor technology have resulted in transceivers that can tolerate as much as 38 dB of loss in the signal path at 32 Gb/s. This has made it possible to design systems with large backplanes with plug in modules. When the move to 56 Gb/s is made the materials that are available as laminates no longer have loss values that allow the design of the very large routers required in server farms and large IT centers

    Notice that the two curves labeled “cable” have far lower loss than any of the laminate systems used to manufacture current products. This loss is representative of what twinax cable can achieve. This solves the problem of how to achieve 56 Gb/s in large systems without the need to resort to optical interconnects.

    More reliable & economical than PCB laminates

    Since the signal integrity problem at high data rates in large systems is directly traced to microdefects in the PCBs and connectors used to manufacture very large, high performance systems, removing these signals from those PCBs and backplanes can solve the problem. This is not a new idea.

    Conclusion

    Advances in semiconductor technology are making it possible to connect components in products such as switches and routers at rates as high as 56 Gb/s. As these higher speeds are achieved, micro-scale variations in the materials used to fabricate PCBs and backplanes can significantly degrade signals. Among the problems encountered are loss, skew, crosstalk, and degradation due to the parasitic capacitance of the plated-though holes required to mount the connectors to the backplanes and daughter cards.

    By using twinax cables to make these connections instead of implementing them in PCBs and backplanes with traditional traces, skew, crosstalk, and degradation from the plated-though holes can be virtually eliminated. Due to the ultra-low loss of the twinax cables, path lengths can be longer, or the frequency of operation can extend much higher than is possible with the laminate systems currently available.

    Reply
  44. Tomi Engdahl says:

    Improper power sequencing in op amps: Analyzing the risks
    http://www.edn.com/design/power-management/4443048/Improper-power-sequencing-in-op-amps–Analyzing-the-risks?_mc=NL_EDN_EDT_EDN_weekly_20161124&cid=NL_EDN_EDT_EDN_weekly_20161124&elqTrackId=bfcb9410d25e468486ef1a7608b424f7&elq=ce0f86ba60a84e5aa890db1b8d5a63f3&elqaid=34929&elqat=1&elqCampaignId=30493

    In systems with multiple supply voltages, operational amplifier power supplies must be established simultaneously with, or before, any input signals are applied. If this doesn’t happen, overvoltage and latch-up conditions can occur.

    However, this can sometimes be a difficult requirement to meet in real world applications. This article takes a look at the activity of op amps in different power sequence situations (Table 2), analyzes possible issues, and presents some suggestions.

    Reply
  45. Tomi Engdahl says:

    Ambiq Micro Apollo processor chips have now been available for almost two years. The company has been a rocky start, but now it tells Apollo to have reached its controller design profits tastes.

    Ambiqin by Apollo controllers boosted by three new wearable, whose manufacturers are Skagen, Misfit, and Fossil. These operating time of batteries have been lifted 5-10 times longer than the traditional ARM-based controllers.

    Apollo circuits based on the ARM Cortex-M4 processor. Low power consumption based on the SPOT technology (subthreshold Power Optimized Technology). In general, processors, transistors translated directly into a fully functional voltage that is currently 1.8 volts. Ambiqin guides not the lowest voltage to the transistor, however, result is used only in the threshold voltage (threshold voltage).

    Ambiqin figures are impressive. When in active mode current consumption of 30 microamps per megahertz. The sleep mode the current consumption drops to 100 nanoamperes. Comparison with competitors is kind of unfair: for example, STMicro Cortex-M4F-based controller consumes 10 times more active power and the sleep state as much as 28-fold.

    In fact, Ambiq M4-based drivers consume less power than the Cortex-M0 + -based control circuits available on the market.

    Surprisingly, one potential competitor comes from Finland. VTT, Aalto University and the University of Turku developed Miniman technology is based on open-end processor and the power consumption is almost three times Ambiqin trend towards less generous levels.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=5461:maailman-pihein-paasi-tositoimiin&catid=13&Itemid=101

    Reply
  46. Tomi Engdahl says:

    Neural Network Keeps it Light
    http://hackaday.com/2016/11/24/neural-network-keeps-it-light/

    Neural networks ought to be very appealing to hackers. You can easily implement them in hardware or software and relatively simple networks can perform powerful functions. As the jobs we ask of neural networks get more complex, the networks require more artificial neurons. That’s why researchers are pursuing dense integrated neuron chips that could do for neural networks what integrated circuits did for conventional computers.

    Researchers at Princeton have announced the first photonic neural network. We recently talked about how artificial neurons work in conventional hardware and software. The artificial neurons look for inputs to reach a threshold which causes them to “fire” and trigger inputs to other neurons.

    Silicon Photonic Neural Network Unveiled
    Neural networks using light could lead to superfast computing.
    https://www.technologyreview.com/s/602938/silicon-photonic-neural-network-unveiled/

    Reply
  47. Tomi Engdahl says:

    Why has Shenzhen become the powerhouse of electronic manufacturing (and everything else) that it is? Economists will give you pages of fascinating background, but if you want a simple answer it is that those electronics are produced for export, and that its citizens are only too happy to export them to you. Therefore if you want to get your hands on electronics from Shenzhen you do not need a friend who is a native of the city, all you need is a web browser and a PayPal account.

    Source: http://hackaday.com/2016/11/26/ask-hackaday-what-should-father-christmas-bring-from-shenzhen/

    Reply
  48. Tomi Engdahl says:

    TSMC, GF/Samsung Battle at 7nm
    Intel may take back seat to foundries
    http://www.eetimes.com/document.asp?doc_id=1330657&

    TSMC will go head-to-head with the partnership of IBM, Globalfoundries and Samsung to publicly detail rival 7nm processes at a technical conference in December. The trio’s process will use extreme ultraviolet lithography to achieve impressive gains, but TSMC likely will get to market first due to challenges getting EUV into production.

    Using EUV, GF and Samsung claim they will deliver “the tightest contacted polysilicon pitch (44/48nm) and metallization pitch (36nm) ever reported for FinFETs,” in an abstract for the International Electron Devices Meeting

    The pitches leapfrog the 56nm gate pitch Intel announced in August for its 10nm process, claiming industry-leading density for the node it aims to have in production next year. Observers have started to suggest both TSMC and Samsung might leapfrog Intel which has slowed the pace of releasing new process technologies as progress in Moore’s law becomes more complex and costly.

    For its part, TSMC will describe at IEDM a 0.027µm2 SRAM test cell made in its 7nm process using immersion steppers. The 256-Mbit, six-transistor SRAM has the smallest cell size reported to date, TSMC claimed, and sports “full read/write functionality down to 0.5V,” it added.

    “The key message is Moore’s law is not stopping because customers are moving to 7nm,”

    The net result is in the course of 18 months chip designers will see at least three variants of 7nm — separate immersion variants from TSMC and Globalfoundries and the EUV version from GF/Samsung. Intel has yet to detail its 7nm node, but said it expects density to rise and cost per transistor to fall.

    Reply
  49. Tomi Engdahl says:

    International engineering team develop self-powered mobile polymers
    Engineering research shines light on self-powered mobile polymers
    https://www.sciencedaily.com/releases/2016/11/161110124109.htm

    Photomotility of polymers
    http://www.nature.com/articles/ncomms13260

    Reply
  50. Tomi Engdahl says:

    Samsung Electronics considers split as investor pressure builds
    http://www.reuters.com/article/us-samsung-elec-restructuring-idUSKBN13N2GS

    Tech giant Samsung Electronics Co Ltd (005930.KS), under pressure from shareholders to improve investor returns, said on Tuesday it will consider creating a holding company in what would be the biggest shake-up in its 47-year history.

    The move and a plan to raise dividends come after U.S. hedge fund Elliott Management in October called for the South Korean firm to split itself into a holding vehicle and an operating company.

    However, the world’s top maker of smartphones, memory chips and televisions, said it was “absolutely neutral” about whether to proceed and provided little detail on the potential restructuring, underwhelming investors.

    Reply

Leave a Comment

Your email address will not be published. Required fields are marked *

*

*